From ae5d181b854d3ccb373b6bc01b4869e44ff4d87a Mon Sep 17 00:00:00 2001 From: Daniel Baumann Date: Sun, 7 Apr 2024 18:37:15 +0200 Subject: Adding upstream version 2.9.0dev.12. Signed-off-by: Daniel Baumann --- src/LYSignal.h | 31 +++++++++++++++++++++++++++++++ 1 file changed, 31 insertions(+) create mode 100644 src/LYSignal.h (limited to 'src/LYSignal.h') diff --git a/src/LYSignal.h b/src/LYSignal.h new file mode 100644 index 0000000..627edb3 --- /dev/null +++ b/src/LYSignal.h @@ -0,0 +1,31 @@ +#ifndef LYSIGNAL_H +#define LYSIGNAL_H + +#include + +#ifdef __cplusplus +extern "C" { +#endif +#ifdef VMS + extern void VMSsignal(int sig, void (*func) ()); + +#ifdef signal +#undef signal +#endif /* signal */ +#define signal(a,b) VMSsignal(a,b) /* use LYCurses.c routines for interrupts */ +#endif /* VMS */ + +#ifdef HAVE_SIGACTION + typedef void LYSigHandlerFunc_t (int); + +/* implementation in LYUtils.c */ + extern void LYExtSignal(int sig, LYSigHandlerFunc_t *handler); + +#else +#define LYExtSignal(sig,h) signal(sig, h) +#endif + +#ifdef __cplusplus +} +#endif +#endif /* LYSIGNAL_H */ -- cgit v1.2.3