summaryrefslogtreecommitdiffstats
path: root/i915
diff options
context:
space:
mode:
Diffstat (limited to '')
-rw-r--r--i915/adlp_dmc.binbin0 -> 79088 bytes
-rw-r--r--i915/adlp_dmc_ver2_16.binbin0 -> 77084 bytes
-rw-r--r--i915/adlp_guc_69.0.3.binbin0 -> 356416 bytes
-rw-r--r--i915/adlp_guc_70.1.1.binbin0 -> 289472 bytes
-rw-r--r--i915/adlp_guc_70.binbin0 -> 347584 bytes
-rw-r--r--i915/adls_dmc_ver2_01.binbin0 -> 18704 bytes
-rw-r--r--i915/bmg_dmc.binbin0 -> 45964 bytes
-rw-r--r--i915/bxt_dmc_ver1_07.binbin0 -> 8380 bytes
-rw-r--r--i915/bxt_guc_33.0.0.binbin0 -> 181888 bytes
-rw-r--r--i915/bxt_guc_70.1.1.binbin0 -> 206464 bytes
-rw-r--r--i915/bxt_guc_ver9_29.binbin0 -> 146432 bytes
-rw-r--r--i915/bxt_huc_2.0.0.binbin0 -> 149824 bytes
-rw-r--r--i915/bxt_huc_ver01_07_1398.binbin0 -> 154432 bytes
-rw-r--r--i915/cml_guc_33.0.0.binbin0 -> 182912 bytes
-rw-r--r--i915/cml_guc_70.1.1.binbin0 -> 206976 bytes
-rw-r--r--i915/cml_huc_4.0.0.binbin0 -> 226048 bytes
-rw-r--r--i915/cnl_dmc_ver1_07.binbin0 -> 11268 bytes
-rw-r--r--i915/dg1_dmc_ver2_02.binbin0 -> 16624 bytes
-rw-r--r--i915/dg1_guc_70.binbin0 -> 321472 bytes
-rw-r--r--i915/dg1_huc.binbin0 -> 589888 bytes
-rw-r--r--i915/dg2_dmc_ver2_07.binbin0 -> 22488 bytes
-rw-r--r--i915/dg2_dmc_ver2_08.binbin0 -> 22540 bytes
-rw-r--r--i915/dg2_guc_70.binbin0 -> 410368 bytes
-rw-r--r--i915/dg2_huc_gsc.binbin0 -> 630784 bytes
-rw-r--r--i915/ehl_guc_33.0.4.binbin0 -> 396288 bytes
-rw-r--r--i915/ehl_guc_70.1.1.binbin0 -> 274496 bytes
-rw-r--r--i915/ehl_huc_9.0.0.binbin0 -> 498880 bytes
-rw-r--r--i915/glk_dmc_ver1_04.binbin0 -> 8800 bytes
-rw-r--r--i915/glk_guc_33.0.0.binbin0 -> 182336 bytes
-rw-r--r--i915/glk_guc_70.1.1.binbin0 -> 206784 bytes
-rw-r--r--i915/glk_huc_4.0.0.binbin0 -> 226048 bytes
-rw-r--r--i915/icl_dmc_ver1_09.binbin0 -> 25952 bytes
-rw-r--r--i915/icl_guc_33.0.0.binbin0 -> 385280 bytes
-rw-r--r--i915/icl_guc_70.1.1.binbin0 -> 274496 bytes
-rw-r--r--i915/icl_huc_9.0.0.binbin0 -> 498880 bytes
-rw-r--r--i915/kbl_dmc_ver1_04.binbin0 -> 8840 bytes
-rw-r--r--i915/kbl_guc_33.0.0.binbin0 -> 182912 bytes
-rw-r--r--i915/kbl_guc_70.1.1.binbin0 -> 206976 bytes
-rw-r--r--i915/kbl_guc_ver9_39.binbin0 -> 147776 bytes
-rw-r--r--i915/kbl_huc_4.0.0.binbin0 -> 226048 bytes
-rw-r--r--i915/kbl_huc_ver02_00_1810.binbin0 -> 218688 bytes
-rw-r--r--i915/mtl_dmc.binbin0 -> 52476 bytes
-rwxr-xr-xi915/mtl_gsc_1.binbin0 -> 1142784 bytes
-rw-r--r--i915/mtl_guc_70.binbin0 -> 332544 bytes
-rwxr-xr-xi915/mtl_huc_gsc.binbin0 -> 561152 bytes
-rw-r--r--i915/rkl_dmc_ver2_02.binbin0 -> 18204 bytes
-rw-r--r--i915/rkl_dmc_ver2_03.binbin0 -> 18476 bytes
-rw-r--r--i915/skl_dmc_ver1_27.binbin0 -> 8928 bytes
-rw-r--r--i915/skl_guc_33.0.0.binbin0 -> 182080 bytes
-rw-r--r--i915/skl_guc_70.1.1.binbin0 -> 206208 bytes
-rw-r--r--i915/skl_guc_ver9_33.binbin0 -> 147520 bytes
-rw-r--r--i915/skl_huc_2.0.0.binbin0 -> 136320 bytes
-rw-r--r--i915/skl_huc_ver01_07_1398.binbin0 -> 140992 bytes
-rw-r--r--i915/tgl_dmc_ver2_08.binbin0 -> 18932 bytes
-rw-r--r--i915/tgl_dmc_ver2_12.binbin0 -> 19760 bytes
-rw-r--r--i915/tgl_guc_35.2.0.binbin0 -> 417728 bytes
-rw-r--r--i915/tgl_guc_69.0.3.binbin0 -> 343296 bytes
-rw-r--r--i915/tgl_guc_70.1.1.binbin0 -> 277440 bytes
-rw-r--r--i915/tgl_guc_70.binbin0 -> 335168 bytes
-rw-r--r--i915/tgl_huc.binbin0 -> 589888 bytes
-rw-r--r--i915/tgl_huc_7.5.0.binbin0 -> 580736 bytes
-rw-r--r--i915/tgl_huc_7.9.3.binbin0 -> 589888 bytes
-rw-r--r--i915/xe2lpd_dmc.binbin0 -> 59284 bytes
63 files changed, 0 insertions, 0 deletions
diff --git a/i915/adlp_dmc.bin b/i915/adlp_dmc.bin
new file mode 100644
index 0000000..9f8bc1b
--- /dev/null
+++ b/i915/adlp_dmc.bin
Binary files differ
diff --git a/i915/adlp_dmc_ver2_16.bin b/i915/adlp_dmc_ver2_16.bin
new file mode 100644
index 0000000..6f4d918
--- /dev/null
+++ b/i915/adlp_dmc_ver2_16.bin
Binary files differ
diff --git a/i915/adlp_guc_69.0.3.bin b/i915/adlp_guc_69.0.3.bin
new file mode 100644
index 0000000..72924ff
--- /dev/null
+++ b/i915/adlp_guc_69.0.3.bin
Binary files differ
diff --git a/i915/adlp_guc_70.1.1.bin b/i915/adlp_guc_70.1.1.bin
new file mode 100644
index 0000000..279c35f
--- /dev/null
+++ b/i915/adlp_guc_70.1.1.bin
Binary files differ
diff --git a/i915/adlp_guc_70.bin b/i915/adlp_guc_70.bin
new file mode 100644
index 0000000..3e1be22
--- /dev/null
+++ b/i915/adlp_guc_70.bin
Binary files differ
diff --git a/i915/adls_dmc_ver2_01.bin b/i915/adls_dmc_ver2_01.bin
new file mode 100644
index 0000000..492d27e
--- /dev/null
+++ b/i915/adls_dmc_ver2_01.bin
Binary files differ
diff --git a/i915/bmg_dmc.bin b/i915/bmg_dmc.bin
new file mode 100644
index 0000000..2b98a15
--- /dev/null
+++ b/i915/bmg_dmc.bin
Binary files differ
diff --git a/i915/bxt_dmc_ver1_07.bin b/i915/bxt_dmc_ver1_07.bin
new file mode 100644
index 0000000..5d1117b
--- /dev/null
+++ b/i915/bxt_dmc_ver1_07.bin
Binary files differ
diff --git a/i915/bxt_guc_33.0.0.bin b/i915/bxt_guc_33.0.0.bin
new file mode 100644
index 0000000..e42b8c5
--- /dev/null
+++ b/i915/bxt_guc_33.0.0.bin
Binary files differ
diff --git a/i915/bxt_guc_70.1.1.bin b/i915/bxt_guc_70.1.1.bin
new file mode 100644
index 0000000..b7dcffe
--- /dev/null
+++ b/i915/bxt_guc_70.1.1.bin
Binary files differ
diff --git a/i915/bxt_guc_ver9_29.bin b/i915/bxt_guc_ver9_29.bin
new file mode 100644
index 0000000..434d1a2
--- /dev/null
+++ b/i915/bxt_guc_ver9_29.bin
Binary files differ
diff --git a/i915/bxt_huc_2.0.0.bin b/i915/bxt_huc_2.0.0.bin
new file mode 100644
index 0000000..e8942e1
--- /dev/null
+++ b/i915/bxt_huc_2.0.0.bin
Binary files differ
diff --git a/i915/bxt_huc_ver01_07_1398.bin b/i915/bxt_huc_ver01_07_1398.bin
new file mode 100644
index 0000000..ca9cde9
--- /dev/null
+++ b/i915/bxt_huc_ver01_07_1398.bin
Binary files differ
diff --git a/i915/cml_guc_33.0.0.bin b/i915/cml_guc_33.0.0.bin
new file mode 100644
index 0000000..82018b9
--- /dev/null
+++ b/i915/cml_guc_33.0.0.bin
Binary files differ
diff --git a/i915/cml_guc_70.1.1.bin b/i915/cml_guc_70.1.1.bin
new file mode 100644
index 0000000..93c8f77
--- /dev/null
+++ b/i915/cml_guc_70.1.1.bin
Binary files differ
diff --git a/i915/cml_huc_4.0.0.bin b/i915/cml_huc_4.0.0.bin
new file mode 100644
index 0000000..94587b0
--- /dev/null
+++ b/i915/cml_huc_4.0.0.bin
Binary files differ
diff --git a/i915/cnl_dmc_ver1_07.bin b/i915/cnl_dmc_ver1_07.bin
new file mode 100644
index 0000000..7a59c0e
--- /dev/null
+++ b/i915/cnl_dmc_ver1_07.bin
Binary files differ
diff --git a/i915/dg1_dmc_ver2_02.bin b/i915/dg1_dmc_ver2_02.bin
new file mode 100644
index 0000000..8f24c92
--- /dev/null
+++ b/i915/dg1_dmc_ver2_02.bin
Binary files differ
diff --git a/i915/dg1_guc_70.bin b/i915/dg1_guc_70.bin
new file mode 100644
index 0000000..6c52c41
--- /dev/null
+++ b/i915/dg1_guc_70.bin
Binary files differ
diff --git a/i915/dg1_huc.bin b/i915/dg1_huc.bin
new file mode 100644
index 0000000..1066f68
--- /dev/null
+++ b/i915/dg1_huc.bin
Binary files differ
diff --git a/i915/dg2_dmc_ver2_07.bin b/i915/dg2_dmc_ver2_07.bin
new file mode 100644
index 0000000..b355454
--- /dev/null
+++ b/i915/dg2_dmc_ver2_07.bin
Binary files differ
diff --git a/i915/dg2_dmc_ver2_08.bin b/i915/dg2_dmc_ver2_08.bin
new file mode 100644
index 0000000..1ae1909
--- /dev/null
+++ b/i915/dg2_dmc_ver2_08.bin
Binary files differ
diff --git a/i915/dg2_guc_70.bin b/i915/dg2_guc_70.bin
new file mode 100644
index 0000000..36a04c2
--- /dev/null
+++ b/i915/dg2_guc_70.bin
Binary files differ
diff --git a/i915/dg2_huc_gsc.bin b/i915/dg2_huc_gsc.bin
new file mode 100644
index 0000000..cff74b3
--- /dev/null
+++ b/i915/dg2_huc_gsc.bin
Binary files differ
diff --git a/i915/ehl_guc_33.0.4.bin b/i915/ehl_guc_33.0.4.bin
new file mode 100644
index 0000000..ff6792d
--- /dev/null
+++ b/i915/ehl_guc_33.0.4.bin
Binary files differ
diff --git a/i915/ehl_guc_70.1.1.bin b/i915/ehl_guc_70.1.1.bin
new file mode 100644
index 0000000..da2252a
--- /dev/null
+++ b/i915/ehl_guc_70.1.1.bin
Binary files differ
diff --git a/i915/ehl_huc_9.0.0.bin b/i915/ehl_huc_9.0.0.bin
new file mode 100644
index 0000000..7e799e3
--- /dev/null
+++ b/i915/ehl_huc_9.0.0.bin
Binary files differ
diff --git a/i915/glk_dmc_ver1_04.bin b/i915/glk_dmc_ver1_04.bin
new file mode 100644
index 0000000..4320bf0
--- /dev/null
+++ b/i915/glk_dmc_ver1_04.bin
Binary files differ
diff --git a/i915/glk_guc_33.0.0.bin b/i915/glk_guc_33.0.0.bin
new file mode 100644
index 0000000..750242c
--- /dev/null
+++ b/i915/glk_guc_33.0.0.bin
Binary files differ
diff --git a/i915/glk_guc_70.1.1.bin b/i915/glk_guc_70.1.1.bin
new file mode 100644
index 0000000..f58a558
--- /dev/null
+++ b/i915/glk_guc_70.1.1.bin
Binary files differ
diff --git a/i915/glk_huc_4.0.0.bin b/i915/glk_huc_4.0.0.bin
new file mode 100644
index 0000000..27ca115
--- /dev/null
+++ b/i915/glk_huc_4.0.0.bin
Binary files differ
diff --git a/i915/icl_dmc_ver1_09.bin b/i915/icl_dmc_ver1_09.bin
new file mode 100644
index 0000000..06faf41
--- /dev/null
+++ b/i915/icl_dmc_ver1_09.bin
Binary files differ
diff --git a/i915/icl_guc_33.0.0.bin b/i915/icl_guc_33.0.0.bin
new file mode 100644
index 0000000..df06c2e
--- /dev/null
+++ b/i915/icl_guc_33.0.0.bin
Binary files differ
diff --git a/i915/icl_guc_70.1.1.bin b/i915/icl_guc_70.1.1.bin
new file mode 100644
index 0000000..d1ce428
--- /dev/null
+++ b/i915/icl_guc_70.1.1.bin
Binary files differ
diff --git a/i915/icl_huc_9.0.0.bin b/i915/icl_huc_9.0.0.bin
new file mode 100644
index 0000000..3d9c398
--- /dev/null
+++ b/i915/icl_huc_9.0.0.bin
Binary files differ
diff --git a/i915/kbl_dmc_ver1_04.bin b/i915/kbl_dmc_ver1_04.bin
new file mode 100644
index 0000000..487b7e5
--- /dev/null
+++ b/i915/kbl_dmc_ver1_04.bin
Binary files differ
diff --git a/i915/kbl_guc_33.0.0.bin b/i915/kbl_guc_33.0.0.bin
new file mode 100644
index 0000000..e1b9377
--- /dev/null
+++ b/i915/kbl_guc_33.0.0.bin
Binary files differ
diff --git a/i915/kbl_guc_70.1.1.bin b/i915/kbl_guc_70.1.1.bin
new file mode 100644
index 0000000..7633552
--- /dev/null
+++ b/i915/kbl_guc_70.1.1.bin
Binary files differ
diff --git a/i915/kbl_guc_ver9_39.bin b/i915/kbl_guc_ver9_39.bin
new file mode 100644
index 0000000..5a8b5b5
--- /dev/null
+++ b/i915/kbl_guc_ver9_39.bin
Binary files differ
diff --git a/i915/kbl_huc_4.0.0.bin b/i915/kbl_huc_4.0.0.bin
new file mode 100644
index 0000000..da17bb7
--- /dev/null
+++ b/i915/kbl_huc_4.0.0.bin
Binary files differ
diff --git a/i915/kbl_huc_ver02_00_1810.bin b/i915/kbl_huc_ver02_00_1810.bin
new file mode 100644
index 0000000..4a210b4
--- /dev/null
+++ b/i915/kbl_huc_ver02_00_1810.bin
Binary files differ
diff --git a/i915/mtl_dmc.bin b/i915/mtl_dmc.bin
new file mode 100644
index 0000000..17497a7
--- /dev/null
+++ b/i915/mtl_dmc.bin
Binary files differ
diff --git a/i915/mtl_gsc_1.bin b/i915/mtl_gsc_1.bin
new file mode 100755
index 0000000..96953a8
--- /dev/null
+++ b/i915/mtl_gsc_1.bin
Binary files differ
diff --git a/i915/mtl_guc_70.bin b/i915/mtl_guc_70.bin
new file mode 100644
index 0000000..e0214f5
--- /dev/null
+++ b/i915/mtl_guc_70.bin
Binary files differ
diff --git a/i915/mtl_huc_gsc.bin b/i915/mtl_huc_gsc.bin
new file mode 100755
index 0000000..2210d6d
--- /dev/null
+++ b/i915/mtl_huc_gsc.bin
Binary files differ
diff --git a/i915/rkl_dmc_ver2_02.bin b/i915/rkl_dmc_ver2_02.bin
new file mode 100644
index 0000000..e553fbc
--- /dev/null
+++ b/i915/rkl_dmc_ver2_02.bin
Binary files differ
diff --git a/i915/rkl_dmc_ver2_03.bin b/i915/rkl_dmc_ver2_03.bin
new file mode 100644
index 0000000..2c70aba
--- /dev/null
+++ b/i915/rkl_dmc_ver2_03.bin
Binary files differ
diff --git a/i915/skl_dmc_ver1_27.bin b/i915/skl_dmc_ver1_27.bin
new file mode 100644
index 0000000..b41d062
--- /dev/null
+++ b/i915/skl_dmc_ver1_27.bin
Binary files differ
diff --git a/i915/skl_guc_33.0.0.bin b/i915/skl_guc_33.0.0.bin
new file mode 100644
index 0000000..3cf084c
--- /dev/null
+++ b/i915/skl_guc_33.0.0.bin
Binary files differ
diff --git a/i915/skl_guc_70.1.1.bin b/i915/skl_guc_70.1.1.bin
new file mode 100644
index 0000000..d18d9f1
--- /dev/null
+++ b/i915/skl_guc_70.1.1.bin
Binary files differ
diff --git a/i915/skl_guc_ver9_33.bin b/i915/skl_guc_ver9_33.bin
new file mode 100644
index 0000000..f47b7bf
--- /dev/null
+++ b/i915/skl_guc_ver9_33.bin
Binary files differ
diff --git a/i915/skl_huc_2.0.0.bin b/i915/skl_huc_2.0.0.bin
new file mode 100644
index 0000000..220f67f
--- /dev/null
+++ b/i915/skl_huc_2.0.0.bin
Binary files differ
diff --git a/i915/skl_huc_ver01_07_1398.bin b/i915/skl_huc_ver01_07_1398.bin
new file mode 100644
index 0000000..9ad48ef
--- /dev/null
+++ b/i915/skl_huc_ver01_07_1398.bin
Binary files differ
diff --git a/i915/tgl_dmc_ver2_08.bin b/i915/tgl_dmc_ver2_08.bin
new file mode 100644
index 0000000..9db379c
--- /dev/null
+++ b/i915/tgl_dmc_ver2_08.bin
Binary files differ
diff --git a/i915/tgl_dmc_ver2_12.bin b/i915/tgl_dmc_ver2_12.bin
new file mode 100644
index 0000000..2995a5a
--- /dev/null
+++ b/i915/tgl_dmc_ver2_12.bin
Binary files differ
diff --git a/i915/tgl_guc_35.2.0.bin b/i915/tgl_guc_35.2.0.bin
new file mode 100644
index 0000000..578d020
--- /dev/null
+++ b/i915/tgl_guc_35.2.0.bin
Binary files differ
diff --git a/i915/tgl_guc_69.0.3.bin b/i915/tgl_guc_69.0.3.bin
new file mode 100644
index 0000000..4c78333
--- /dev/null
+++ b/i915/tgl_guc_69.0.3.bin
Binary files differ
diff --git a/i915/tgl_guc_70.1.1.bin b/i915/tgl_guc_70.1.1.bin
new file mode 100644
index 0000000..165e0e0
--- /dev/null
+++ b/i915/tgl_guc_70.1.1.bin
Binary files differ
diff --git a/i915/tgl_guc_70.bin b/i915/tgl_guc_70.bin
new file mode 100644
index 0000000..1dd1ccd
--- /dev/null
+++ b/i915/tgl_guc_70.bin
Binary files differ
diff --git a/i915/tgl_huc.bin b/i915/tgl_huc.bin
new file mode 100644
index 0000000..abe9f1d
--- /dev/null
+++ b/i915/tgl_huc.bin
Binary files differ
diff --git a/i915/tgl_huc_7.5.0.bin b/i915/tgl_huc_7.5.0.bin
new file mode 100644
index 0000000..bed10f3
--- /dev/null
+++ b/i915/tgl_huc_7.5.0.bin
Binary files differ
diff --git a/i915/tgl_huc_7.9.3.bin b/i915/tgl_huc_7.9.3.bin
new file mode 100644
index 0000000..abe9f1d
--- /dev/null
+++ b/i915/tgl_huc_7.9.3.bin
Binary files differ
diff --git a/i915/xe2lpd_dmc.bin b/i915/xe2lpd_dmc.bin
new file mode 100644
index 0000000..67e7c65
--- /dev/null
+++ b/i915/xe2lpd_dmc.bin
Binary files differ