From 6b4d032d4964caaa85be4ba8f3a7874afbf958cc Mon Sep 17 00:00:00 2001 From: Daniel Baumann Date: Fri, 19 Apr 2024 10:09:22 +0200 Subject: Adding upstream version 20230625. Signed-off-by: Daniel Baumann --- i915/adlp_dmc.bin | Bin 0 -> 79044 bytes i915/adlp_dmc_ver2_09.bin | Bin 0 -> 44636 bytes i915/adlp_dmc_ver2_10.bin | Bin 0 -> 44616 bytes i915/adlp_dmc_ver2_12.bin | Bin 0 -> 72104 bytes i915/adlp_dmc_ver2_14.bin | Bin 0 -> 77300 bytes i915/adlp_dmc_ver2_16.bin | Bin 0 -> 77084 bytes i915/adlp_guc_62.0.3.bin | Bin 0 -> 336704 bytes i915/adlp_guc_69.0.3.bin | Bin 0 -> 356416 bytes i915/adlp_guc_70.1.1.bin | Bin 0 -> 289472 bytes i915/adlp_guc_70.bin | Bin 0 -> 297984 bytes i915/adls_dmc_ver2_01.bin | Bin 0 -> 18704 bytes i915/bxt_dmc_ver1_07.bin | Bin 0 -> 8380 bytes i915/bxt_guc_32.0.3.bin | Bin 0 -> 176256 bytes i915/bxt_guc_33.0.0.bin | Bin 0 -> 181888 bytes i915/bxt_guc_49.0.1.bin | Bin 0 -> 196224 bytes i915/bxt_guc_62.0.0.bin | Bin 0 -> 199616 bytes i915/bxt_guc_69.0.3.bin | Bin 0 -> 216768 bytes i915/bxt_guc_70.1.1.bin | Bin 0 -> 206464 bytes i915/bxt_guc_ver8_7.bin | Bin 0 -> 140928 bytes i915/bxt_guc_ver9_29.bin | Bin 0 -> 146432 bytes i915/bxt_huc_2.0.0.bin | Bin 0 -> 149824 bytes i915/bxt_huc_ver01_07_1398.bin | Bin 0 -> 154432 bytes i915/bxt_huc_ver01_8_2893.bin | Bin 0 -> 146880 bytes i915/cml_guc_33.0.0.bin | Bin 0 -> 182912 bytes i915/cml_guc_49.0.1.bin | Bin 0 -> 197184 bytes i915/cml_guc_62.0.0.bin | Bin 0 -> 200448 bytes i915/cml_guc_69.0.3.bin | Bin 0 -> 217664 bytes i915/cml_guc_70.1.1.bin | Bin 0 -> 206976 bytes i915/cml_huc_4.0.0.bin | Bin 0 -> 226048 bytes i915/cnl_dmc_ver1_07.bin | Bin 0 -> 11268 bytes i915/dg1_dmc_ver2_02.bin | Bin 0 -> 16624 bytes i915/dg1_guc_49.0.1.bin | Bin 0 -> 311872 bytes i915/dg1_guc_62.0.0.bin | Bin 0 -> 315648 bytes i915/dg1_guc_69.0.3.bin | Bin 0 -> 323968 bytes i915/dg1_guc_70.1.1.bin | Bin 0 -> 265152 bytes i915/dg1_guc_70.bin | Bin 0 -> 272512 bytes i915/dg1_huc.bin | Bin 0 -> 589888 bytes i915/dg1_huc_7.7.1.bin | Bin 0 -> 582400 bytes i915/dg1_huc_7.9.3.bin | Bin 0 -> 589888 bytes i915/dg2_dmc_ver2_06.bin | Bin 0 -> 22416 bytes i915/dg2_dmc_ver2_07.bin | Bin 0 -> 22488 bytes i915/dg2_dmc_ver2_08.bin | Bin 0 -> 22540 bytes i915/dg2_guc_70.1.2.bin | Bin 0 -> 365568 bytes i915/dg2_guc_70.4.1.bin | Bin 0 -> 369600 bytes i915/dg2_guc_70.bin | Bin 0 -> 369600 bytes i915/dg2_huc_gsc.bin | Bin 0 -> 622592 bytes i915/ehl_guc_33.0.4.bin | Bin 0 -> 396288 bytes i915/ehl_guc_49.0.1.bin | Bin 0 -> 324160 bytes i915/ehl_guc_62.0.0.bin | Bin 0 -> 327488 bytes i915/ehl_guc_69.0.3.bin | Bin 0 -> 343360 bytes i915/ehl_guc_70.1.1.bin | Bin 0 -> 274496 bytes i915/ehl_huc_9.0.0.bin | Bin 0 -> 498880 bytes i915/glk_dmc_ver1_04.bin | Bin 0 -> 8800 bytes i915/glk_guc_32.0.3.bin | Bin 0 -> 176640 bytes i915/glk_guc_33.0.0.bin | Bin 0 -> 182336 bytes i915/glk_guc_49.0.1.bin | Bin 0 -> 196672 bytes i915/glk_guc_62.0.0.bin | Bin 0 -> 200000 bytes i915/glk_guc_69.0.3.bin | Bin 0 -> 217216 bytes i915/glk_guc_70.1.1.bin | Bin 0 -> 206784 bytes i915/glk_huc_4.0.0.bin | Bin 0 -> 226048 bytes i915/glk_huc_ver03_01_2893.bin | Bin 0 -> 222080 bytes i915/icl_dmc_ver1_07.bin | Bin 0 -> 25716 bytes i915/icl_dmc_ver1_09.bin | Bin 0 -> 25952 bytes i915/icl_guc_32.0.3.bin | Bin 0 -> 380096 bytes i915/icl_guc_33.0.0.bin | Bin 0 -> 385280 bytes i915/icl_guc_49.0.1.bin | Bin 0 -> 324160 bytes i915/icl_guc_62.0.0.bin | Bin 0 -> 327488 bytes i915/icl_guc_69.0.3.bin | Bin 0 -> 343360 bytes i915/icl_guc_70.1.1.bin | Bin 0 -> 274496 bytes i915/icl_huc_9.0.0.bin | Bin 0 -> 498880 bytes i915/icl_huc_ver8_4_3238.bin | Bin 0 -> 488960 bytes i915/kbl_dmc_ver1_01.bin | Bin 0 -> 8616 bytes i915/kbl_dmc_ver1_04.bin | Bin 0 -> 8840 bytes i915/kbl_guc_32.0.3.bin | Bin 0 -> 176448 bytes i915/kbl_guc_33.0.0.bin | Bin 0 -> 182912 bytes i915/kbl_guc_49.0.1.bin | Bin 0 -> 197184 bytes i915/kbl_guc_62.0.0.bin | Bin 0 -> 200448 bytes i915/kbl_guc_69.0.3.bin | Bin 0 -> 217664 bytes i915/kbl_guc_70.1.1.bin | Bin 0 -> 206976 bytes i915/kbl_guc_ver9_14.bin | Bin 0 -> 142656 bytes i915/kbl_guc_ver9_39.bin | Bin 0 -> 147776 bytes i915/kbl_huc_4.0.0.bin | Bin 0 -> 226048 bytes i915/kbl_huc_ver02_00_1810.bin | Bin 0 -> 218688 bytes i915/mtl_dmc.bin | Bin 0 -> 49104 bytes i915/mtl_dmc_ver2_10.bin | Bin 0 -> 48112 bytes i915/mtl_guc_70.bin | Bin 0 -> 303936 bytes i915/mtl_huc_gsc.bin | Bin 0 -> 565248 bytes i915/rkl_dmc_ver2_02.bin | Bin 0 -> 18204 bytes i915/rkl_dmc_ver2_03.bin | Bin 0 -> 18476 bytes i915/skl_dmc_ver1_23.bin | Bin 0 -> 8824 bytes i915/skl_dmc_ver1_26.bin | Bin 0 -> 8928 bytes i915/skl_dmc_ver1_27.bin | Bin 0 -> 8928 bytes i915/skl_guc_32.0.3.bin | Bin 0 -> 175552 bytes i915/skl_guc_33.0.0.bin | Bin 0 -> 182080 bytes i915/skl_guc_49.0.1.bin | Bin 0 -> 196288 bytes i915/skl_guc_62.0.0.bin | Bin 0 -> 199552 bytes i915/skl_guc_69.0.3.bin | Bin 0 -> 216704 bytes i915/skl_guc_70.1.1.bin | Bin 0 -> 206208 bytes i915/skl_guc_ver1.bin | Bin 0 -> 109636 bytes i915/skl_guc_ver4.bin | Bin 0 -> 128320 bytes i915/skl_guc_ver6_1.bin | Bin 0 -> 129024 bytes i915/skl_guc_ver9_33.bin | Bin 0 -> 147520 bytes i915/skl_huc_2.0.0.bin | Bin 0 -> 136320 bytes i915/skl_huc_ver01_07_1398.bin | Bin 0 -> 140992 bytes i915/tgl_dmc_ver2_04.bin | Bin 0 -> 18436 bytes i915/tgl_dmc_ver2_06.bin | Bin 0 -> 18660 bytes i915/tgl_dmc_ver2_08.bin | Bin 0 -> 18932 bytes i915/tgl_dmc_ver2_12.bin | Bin 0 -> 19760 bytes i915/tgl_guc_35.2.0.bin | Bin 0 -> 417728 bytes i915/tgl_guc_49.0.1.bin | Bin 0 -> 321792 bytes i915/tgl_guc_62.0.0.bin | Bin 0 -> 326016 bytes i915/tgl_guc_69.0.3.bin | Bin 0 -> 343296 bytes i915/tgl_guc_70.1.1.bin | Bin 0 -> 277440 bytes i915/tgl_guc_70.bin | Bin 0 -> 285888 bytes i915/tgl_huc.bin | Bin 0 -> 589888 bytes i915/tgl_huc_7.0.12.bin | Bin 0 -> 530368 bytes i915/tgl_huc_7.0.3.bin | Bin 0 -> 521408 bytes i915/tgl_huc_7.5.0.bin | Bin 0 -> 580736 bytes i915/tgl_huc_7.9.3.bin | Bin 0 -> 589888 bytes 119 files changed, 0 insertions(+), 0 deletions(-) create mode 100644 i915/adlp_dmc.bin create mode 100644 i915/adlp_dmc_ver2_09.bin create mode 100644 i915/adlp_dmc_ver2_10.bin create mode 100644 i915/adlp_dmc_ver2_12.bin create mode 100644 i915/adlp_dmc_ver2_14.bin create mode 100644 i915/adlp_dmc_ver2_16.bin create mode 100644 i915/adlp_guc_62.0.3.bin create mode 100644 i915/adlp_guc_69.0.3.bin create mode 100644 i915/adlp_guc_70.1.1.bin create mode 100644 i915/adlp_guc_70.bin create mode 100644 i915/adls_dmc_ver2_01.bin create mode 100644 i915/bxt_dmc_ver1_07.bin create mode 100644 i915/bxt_guc_32.0.3.bin create mode 100644 i915/bxt_guc_33.0.0.bin create mode 100644 i915/bxt_guc_49.0.1.bin create mode 100644 i915/bxt_guc_62.0.0.bin create mode 100644 i915/bxt_guc_69.0.3.bin create mode 100644 i915/bxt_guc_70.1.1.bin create mode 100644 i915/bxt_guc_ver8_7.bin create mode 100644 i915/bxt_guc_ver9_29.bin create mode 100644 i915/bxt_huc_2.0.0.bin create mode 100644 i915/bxt_huc_ver01_07_1398.bin create mode 100644 i915/bxt_huc_ver01_8_2893.bin create mode 100644 i915/cml_guc_33.0.0.bin create mode 100644 i915/cml_guc_49.0.1.bin create mode 100644 i915/cml_guc_62.0.0.bin create mode 100644 i915/cml_guc_69.0.3.bin create mode 100644 i915/cml_guc_70.1.1.bin create mode 100644 i915/cml_huc_4.0.0.bin create mode 100644 i915/cnl_dmc_ver1_07.bin create mode 100644 i915/dg1_dmc_ver2_02.bin create mode 100644 i915/dg1_guc_49.0.1.bin create mode 100644 i915/dg1_guc_62.0.0.bin create mode 100644 i915/dg1_guc_69.0.3.bin create mode 100644 i915/dg1_guc_70.1.1.bin create mode 100644 i915/dg1_guc_70.bin create mode 100644 i915/dg1_huc.bin create mode 100644 i915/dg1_huc_7.7.1.bin create mode 100644 i915/dg1_huc_7.9.3.bin create mode 100644 i915/dg2_dmc_ver2_06.bin create mode 100644 i915/dg2_dmc_ver2_07.bin create mode 100644 i915/dg2_dmc_ver2_08.bin create mode 100644 i915/dg2_guc_70.1.2.bin create mode 100644 i915/dg2_guc_70.4.1.bin create mode 100644 i915/dg2_guc_70.bin create mode 100755 i915/dg2_huc_gsc.bin create mode 100644 i915/ehl_guc_33.0.4.bin create mode 100644 i915/ehl_guc_49.0.1.bin create mode 100644 i915/ehl_guc_62.0.0.bin create mode 100644 i915/ehl_guc_69.0.3.bin create mode 100644 i915/ehl_guc_70.1.1.bin create mode 100644 i915/ehl_huc_9.0.0.bin create mode 100644 i915/glk_dmc_ver1_04.bin create mode 100644 i915/glk_guc_32.0.3.bin create mode 100644 i915/glk_guc_33.0.0.bin create mode 100644 i915/glk_guc_49.0.1.bin create mode 100644 i915/glk_guc_62.0.0.bin create mode 100644 i915/glk_guc_69.0.3.bin create mode 100644 i915/glk_guc_70.1.1.bin create mode 100644 i915/glk_huc_4.0.0.bin create mode 100644 i915/glk_huc_ver03_01_2893.bin create mode 100644 i915/icl_dmc_ver1_07.bin create mode 100644 i915/icl_dmc_ver1_09.bin create mode 100644 i915/icl_guc_32.0.3.bin create mode 100644 i915/icl_guc_33.0.0.bin create mode 100644 i915/icl_guc_49.0.1.bin create mode 100644 i915/icl_guc_62.0.0.bin create mode 100644 i915/icl_guc_69.0.3.bin create mode 100644 i915/icl_guc_70.1.1.bin create mode 100644 i915/icl_huc_9.0.0.bin create mode 100644 i915/icl_huc_ver8_4_3238.bin create mode 100644 i915/kbl_dmc_ver1_01.bin create mode 100644 i915/kbl_dmc_ver1_04.bin create mode 100644 i915/kbl_guc_32.0.3.bin create mode 100644 i915/kbl_guc_33.0.0.bin create mode 100644 i915/kbl_guc_49.0.1.bin create mode 100644 i915/kbl_guc_62.0.0.bin create mode 100644 i915/kbl_guc_69.0.3.bin create mode 100644 i915/kbl_guc_70.1.1.bin create mode 100644 i915/kbl_guc_ver9_14.bin create mode 100644 i915/kbl_guc_ver9_39.bin create mode 100644 i915/kbl_huc_4.0.0.bin create mode 100644 i915/kbl_huc_ver02_00_1810.bin create mode 100644 i915/mtl_dmc.bin create mode 100644 i915/mtl_dmc_ver2_10.bin create mode 100644 i915/mtl_guc_70.bin create mode 100755 i915/mtl_huc_gsc.bin create mode 100644 i915/rkl_dmc_ver2_02.bin create mode 100644 i915/rkl_dmc_ver2_03.bin create mode 100644 i915/skl_dmc_ver1_23.bin create mode 100644 i915/skl_dmc_ver1_26.bin create mode 100644 i915/skl_dmc_ver1_27.bin create mode 100644 i915/skl_guc_32.0.3.bin create mode 100644 i915/skl_guc_33.0.0.bin create mode 100644 i915/skl_guc_49.0.1.bin create mode 100644 i915/skl_guc_62.0.0.bin create mode 100644 i915/skl_guc_69.0.3.bin create mode 100644 i915/skl_guc_70.1.1.bin create mode 100644 i915/skl_guc_ver1.bin create mode 100644 i915/skl_guc_ver4.bin create mode 100644 i915/skl_guc_ver6_1.bin create mode 100644 i915/skl_guc_ver9_33.bin create mode 100644 i915/skl_huc_2.0.0.bin create mode 100644 i915/skl_huc_ver01_07_1398.bin create mode 100644 i915/tgl_dmc_ver2_04.bin create mode 100644 i915/tgl_dmc_ver2_06.bin create mode 100644 i915/tgl_dmc_ver2_08.bin create mode 100644 i915/tgl_dmc_ver2_12.bin create mode 100644 i915/tgl_guc_35.2.0.bin create mode 100644 i915/tgl_guc_49.0.1.bin create mode 100644 i915/tgl_guc_62.0.0.bin create mode 100644 i915/tgl_guc_69.0.3.bin create mode 100644 i915/tgl_guc_70.1.1.bin create mode 100644 i915/tgl_guc_70.bin create mode 100644 i915/tgl_huc.bin create mode 100644 i915/tgl_huc_7.0.12.bin create mode 100644 i915/tgl_huc_7.0.3.bin create mode 100644 i915/tgl_huc_7.5.0.bin create mode 100644 i915/tgl_huc_7.9.3.bin (limited to 'i915') diff --git a/i915/adlp_dmc.bin b/i915/adlp_dmc.bin new file mode 100644 index 0000000..ea6c06a Binary files /dev/null and b/i915/adlp_dmc.bin differ diff --git a/i915/adlp_dmc_ver2_09.bin b/i915/adlp_dmc_ver2_09.bin new file mode 100644 index 0000000..dc78fa0 Binary files /dev/null and b/i915/adlp_dmc_ver2_09.bin differ diff --git a/i915/adlp_dmc_ver2_10.bin b/i915/adlp_dmc_ver2_10.bin new file mode 100644 index 0000000..89a33d2 Binary files /dev/null and b/i915/adlp_dmc_ver2_10.bin differ diff --git a/i915/adlp_dmc_ver2_12.bin b/i915/adlp_dmc_ver2_12.bin new file mode 100644 index 0000000..94b5380 Binary files /dev/null and b/i915/adlp_dmc_ver2_12.bin differ diff --git a/i915/adlp_dmc_ver2_14.bin b/i915/adlp_dmc_ver2_14.bin new file mode 100644 index 0000000..16cec78 Binary files /dev/null and b/i915/adlp_dmc_ver2_14.bin differ diff --git a/i915/adlp_dmc_ver2_16.bin b/i915/adlp_dmc_ver2_16.bin new file mode 100644 index 0000000..6f4d918 Binary files /dev/null and b/i915/adlp_dmc_ver2_16.bin differ diff --git a/i915/adlp_guc_62.0.3.bin b/i915/adlp_guc_62.0.3.bin new file mode 100644 index 0000000..e5eafaa Binary files /dev/null and b/i915/adlp_guc_62.0.3.bin differ diff --git a/i915/adlp_guc_69.0.3.bin b/i915/adlp_guc_69.0.3.bin new file mode 100644 index 0000000..72924ff Binary files /dev/null and b/i915/adlp_guc_69.0.3.bin differ diff --git a/i915/adlp_guc_70.1.1.bin b/i915/adlp_guc_70.1.1.bin new file mode 100644 index 0000000..279c35f Binary files /dev/null and b/i915/adlp_guc_70.1.1.bin differ diff --git a/i915/adlp_guc_70.bin b/i915/adlp_guc_70.bin new file mode 100644 index 0000000..b96789e Binary files /dev/null and b/i915/adlp_guc_70.bin differ diff --git a/i915/adls_dmc_ver2_01.bin b/i915/adls_dmc_ver2_01.bin new file mode 100644 index 0000000..492d27e Binary files /dev/null and b/i915/adls_dmc_ver2_01.bin differ diff --git a/i915/bxt_dmc_ver1_07.bin b/i915/bxt_dmc_ver1_07.bin new file mode 100644 index 0000000..5d1117b Binary files /dev/null and b/i915/bxt_dmc_ver1_07.bin differ diff --git a/i915/bxt_guc_32.0.3.bin b/i915/bxt_guc_32.0.3.bin new file mode 100644 index 0000000..654d124 Binary files /dev/null and b/i915/bxt_guc_32.0.3.bin differ diff --git a/i915/bxt_guc_33.0.0.bin b/i915/bxt_guc_33.0.0.bin new file mode 100644 index 0000000..e42b8c5 Binary files /dev/null and b/i915/bxt_guc_33.0.0.bin differ diff --git a/i915/bxt_guc_49.0.1.bin b/i915/bxt_guc_49.0.1.bin new file mode 100644 index 0000000..5ac4890 Binary files /dev/null and b/i915/bxt_guc_49.0.1.bin differ diff --git a/i915/bxt_guc_62.0.0.bin b/i915/bxt_guc_62.0.0.bin new file mode 100644 index 0000000..2381605 Binary files /dev/null and b/i915/bxt_guc_62.0.0.bin differ diff --git a/i915/bxt_guc_69.0.3.bin b/i915/bxt_guc_69.0.3.bin new file mode 100644 index 0000000..c4476a2 Binary files /dev/null and b/i915/bxt_guc_69.0.3.bin differ diff --git a/i915/bxt_guc_70.1.1.bin b/i915/bxt_guc_70.1.1.bin new file mode 100644 index 0000000..b7dcffe Binary files /dev/null and b/i915/bxt_guc_70.1.1.bin differ diff --git a/i915/bxt_guc_ver8_7.bin b/i915/bxt_guc_ver8_7.bin new file mode 100644 index 0000000..ca59c29 Binary files /dev/null and b/i915/bxt_guc_ver8_7.bin differ diff --git a/i915/bxt_guc_ver9_29.bin b/i915/bxt_guc_ver9_29.bin new file mode 100644 index 0000000..434d1a2 Binary files /dev/null and b/i915/bxt_guc_ver9_29.bin differ diff --git a/i915/bxt_huc_2.0.0.bin b/i915/bxt_huc_2.0.0.bin new file mode 100644 index 0000000..e8942e1 Binary files /dev/null and b/i915/bxt_huc_2.0.0.bin differ diff --git a/i915/bxt_huc_ver01_07_1398.bin b/i915/bxt_huc_ver01_07_1398.bin new file mode 100644 index 0000000..ca9cde9 Binary files /dev/null and b/i915/bxt_huc_ver01_07_1398.bin differ diff --git a/i915/bxt_huc_ver01_8_2893.bin b/i915/bxt_huc_ver01_8_2893.bin new file mode 100644 index 0000000..0aa5afc Binary files /dev/null and b/i915/bxt_huc_ver01_8_2893.bin differ diff --git a/i915/cml_guc_33.0.0.bin b/i915/cml_guc_33.0.0.bin new file mode 100644 index 0000000..82018b9 Binary files /dev/null and b/i915/cml_guc_33.0.0.bin differ diff --git a/i915/cml_guc_49.0.1.bin b/i915/cml_guc_49.0.1.bin new file mode 100644 index 0000000..f232aa8 Binary files /dev/null and b/i915/cml_guc_49.0.1.bin differ diff --git a/i915/cml_guc_62.0.0.bin b/i915/cml_guc_62.0.0.bin new file mode 100644 index 0000000..fd8e21b Binary files /dev/null and b/i915/cml_guc_62.0.0.bin differ diff --git a/i915/cml_guc_69.0.3.bin b/i915/cml_guc_69.0.3.bin new file mode 100644 index 0000000..c1fd78b Binary files /dev/null and b/i915/cml_guc_69.0.3.bin differ diff --git a/i915/cml_guc_70.1.1.bin b/i915/cml_guc_70.1.1.bin new file mode 100644 index 0000000..93c8f77 Binary files /dev/null and b/i915/cml_guc_70.1.1.bin differ diff --git a/i915/cml_huc_4.0.0.bin b/i915/cml_huc_4.0.0.bin new file mode 100644 index 0000000..94587b0 Binary files /dev/null and b/i915/cml_huc_4.0.0.bin differ diff --git a/i915/cnl_dmc_ver1_07.bin b/i915/cnl_dmc_ver1_07.bin new file mode 100644 index 0000000..7a59c0e Binary files /dev/null and b/i915/cnl_dmc_ver1_07.bin differ diff --git a/i915/dg1_dmc_ver2_02.bin b/i915/dg1_dmc_ver2_02.bin new file mode 100644 index 0000000..8f24c92 Binary files /dev/null and b/i915/dg1_dmc_ver2_02.bin differ diff --git a/i915/dg1_guc_49.0.1.bin b/i915/dg1_guc_49.0.1.bin new file mode 100644 index 0000000..0083e8c Binary files /dev/null and b/i915/dg1_guc_49.0.1.bin differ diff --git a/i915/dg1_guc_62.0.0.bin b/i915/dg1_guc_62.0.0.bin new file mode 100644 index 0000000..a10d009 Binary files /dev/null and b/i915/dg1_guc_62.0.0.bin differ diff --git a/i915/dg1_guc_69.0.3.bin b/i915/dg1_guc_69.0.3.bin new file mode 100644 index 0000000..dbb9428 Binary files /dev/null and b/i915/dg1_guc_69.0.3.bin differ diff --git a/i915/dg1_guc_70.1.1.bin b/i915/dg1_guc_70.1.1.bin new file mode 100644 index 0000000..3fa8fd7 Binary files /dev/null and b/i915/dg1_guc_70.1.1.bin differ diff --git a/i915/dg1_guc_70.bin b/i915/dg1_guc_70.bin new file mode 100644 index 0000000..6176840 Binary files /dev/null and b/i915/dg1_guc_70.bin differ diff --git a/i915/dg1_huc.bin b/i915/dg1_huc.bin new file mode 100644 index 0000000..1066f68 Binary files /dev/null and b/i915/dg1_huc.bin differ diff --git a/i915/dg1_huc_7.7.1.bin b/i915/dg1_huc_7.7.1.bin new file mode 100644 index 0000000..79e0dec Binary files /dev/null and b/i915/dg1_huc_7.7.1.bin differ diff --git a/i915/dg1_huc_7.9.3.bin b/i915/dg1_huc_7.9.3.bin new file mode 100644 index 0000000..1066f68 Binary files /dev/null and b/i915/dg1_huc_7.9.3.bin differ diff --git a/i915/dg2_dmc_ver2_06.bin b/i915/dg2_dmc_ver2_06.bin new file mode 100644 index 0000000..7eeeab2 Binary files /dev/null and b/i915/dg2_dmc_ver2_06.bin differ diff --git a/i915/dg2_dmc_ver2_07.bin b/i915/dg2_dmc_ver2_07.bin new file mode 100644 index 0000000..b355454 Binary files /dev/null and b/i915/dg2_dmc_ver2_07.bin differ diff --git a/i915/dg2_dmc_ver2_08.bin b/i915/dg2_dmc_ver2_08.bin new file mode 100644 index 0000000..1ae1909 Binary files /dev/null and b/i915/dg2_dmc_ver2_08.bin differ diff --git a/i915/dg2_guc_70.1.2.bin b/i915/dg2_guc_70.1.2.bin new file mode 100644 index 0000000..252cd91 Binary files /dev/null and b/i915/dg2_guc_70.1.2.bin differ diff --git a/i915/dg2_guc_70.4.1.bin b/i915/dg2_guc_70.4.1.bin new file mode 100644 index 0000000..d307715 Binary files /dev/null and b/i915/dg2_guc_70.4.1.bin differ diff --git a/i915/dg2_guc_70.bin b/i915/dg2_guc_70.bin new file mode 100644 index 0000000..bbda69d Binary files /dev/null and b/i915/dg2_guc_70.bin differ diff --git a/i915/dg2_huc_gsc.bin b/i915/dg2_huc_gsc.bin new file mode 100755 index 0000000..f843808 Binary files /dev/null and b/i915/dg2_huc_gsc.bin differ diff --git a/i915/ehl_guc_33.0.4.bin b/i915/ehl_guc_33.0.4.bin new file mode 100644 index 0000000..ff6792d Binary files /dev/null and b/i915/ehl_guc_33.0.4.bin differ diff --git a/i915/ehl_guc_49.0.1.bin b/i915/ehl_guc_49.0.1.bin new file mode 100644 index 0000000..5a298e7 Binary files /dev/null and b/i915/ehl_guc_49.0.1.bin differ diff --git a/i915/ehl_guc_62.0.0.bin b/i915/ehl_guc_62.0.0.bin new file mode 100644 index 0000000..b3af3d8 Binary files /dev/null and b/i915/ehl_guc_62.0.0.bin differ diff --git a/i915/ehl_guc_69.0.3.bin b/i915/ehl_guc_69.0.3.bin new file mode 100644 index 0000000..d0f5f31 Binary files /dev/null and b/i915/ehl_guc_69.0.3.bin differ diff --git a/i915/ehl_guc_70.1.1.bin b/i915/ehl_guc_70.1.1.bin new file mode 100644 index 0000000..da2252a Binary files /dev/null and b/i915/ehl_guc_70.1.1.bin differ diff --git a/i915/ehl_huc_9.0.0.bin b/i915/ehl_huc_9.0.0.bin new file mode 100644 index 0000000..7e799e3 Binary files /dev/null and b/i915/ehl_huc_9.0.0.bin differ diff --git a/i915/glk_dmc_ver1_04.bin b/i915/glk_dmc_ver1_04.bin new file mode 100644 index 0000000..4320bf0 Binary files /dev/null and b/i915/glk_dmc_ver1_04.bin differ diff --git a/i915/glk_guc_32.0.3.bin b/i915/glk_guc_32.0.3.bin new file mode 100644 index 0000000..cd904a6 Binary files /dev/null and b/i915/glk_guc_32.0.3.bin differ diff --git a/i915/glk_guc_33.0.0.bin b/i915/glk_guc_33.0.0.bin new file mode 100644 index 0000000..750242c Binary files /dev/null and b/i915/glk_guc_33.0.0.bin differ diff --git a/i915/glk_guc_49.0.1.bin b/i915/glk_guc_49.0.1.bin new file mode 100644 index 0000000..95e3d50 Binary files /dev/null and b/i915/glk_guc_49.0.1.bin differ diff --git a/i915/glk_guc_62.0.0.bin b/i915/glk_guc_62.0.0.bin new file mode 100644 index 0000000..6ac3499 Binary files /dev/null and b/i915/glk_guc_62.0.0.bin differ diff --git a/i915/glk_guc_69.0.3.bin b/i915/glk_guc_69.0.3.bin new file mode 100644 index 0000000..94fe8bb Binary files /dev/null and b/i915/glk_guc_69.0.3.bin differ diff --git a/i915/glk_guc_70.1.1.bin b/i915/glk_guc_70.1.1.bin new file mode 100644 index 0000000..f58a558 Binary files /dev/null and b/i915/glk_guc_70.1.1.bin differ diff --git a/i915/glk_huc_4.0.0.bin b/i915/glk_huc_4.0.0.bin new file mode 100644 index 0000000..27ca115 Binary files /dev/null and b/i915/glk_huc_4.0.0.bin differ diff --git a/i915/glk_huc_ver03_01_2893.bin b/i915/glk_huc_ver03_01_2893.bin new file mode 100644 index 0000000..f9c880e Binary files /dev/null and b/i915/glk_huc_ver03_01_2893.bin differ diff --git a/i915/icl_dmc_ver1_07.bin b/i915/icl_dmc_ver1_07.bin new file mode 100644 index 0000000..eff9d9e Binary files /dev/null and b/i915/icl_dmc_ver1_07.bin differ diff --git a/i915/icl_dmc_ver1_09.bin b/i915/icl_dmc_ver1_09.bin new file mode 100644 index 0000000..06faf41 Binary files /dev/null and b/i915/icl_dmc_ver1_09.bin differ diff --git a/i915/icl_guc_32.0.3.bin b/i915/icl_guc_32.0.3.bin new file mode 100644 index 0000000..06ea8ad Binary files /dev/null and b/i915/icl_guc_32.0.3.bin differ diff --git a/i915/icl_guc_33.0.0.bin b/i915/icl_guc_33.0.0.bin new file mode 100644 index 0000000..df06c2e Binary files /dev/null and b/i915/icl_guc_33.0.0.bin differ diff --git a/i915/icl_guc_49.0.1.bin b/i915/icl_guc_49.0.1.bin new file mode 100644 index 0000000..417145e Binary files /dev/null and b/i915/icl_guc_49.0.1.bin differ diff --git a/i915/icl_guc_62.0.0.bin b/i915/icl_guc_62.0.0.bin new file mode 100644 index 0000000..782d323 Binary files /dev/null and b/i915/icl_guc_62.0.0.bin differ diff --git a/i915/icl_guc_69.0.3.bin b/i915/icl_guc_69.0.3.bin new file mode 100644 index 0000000..de059b7 Binary files /dev/null and b/i915/icl_guc_69.0.3.bin differ diff --git a/i915/icl_guc_70.1.1.bin b/i915/icl_guc_70.1.1.bin new file mode 100644 index 0000000..d1ce428 Binary files /dev/null and b/i915/icl_guc_70.1.1.bin differ diff --git a/i915/icl_huc_9.0.0.bin b/i915/icl_huc_9.0.0.bin new file mode 100644 index 0000000..3d9c398 Binary files /dev/null and b/i915/icl_huc_9.0.0.bin differ diff --git a/i915/icl_huc_ver8_4_3238.bin b/i915/icl_huc_ver8_4_3238.bin new file mode 100644 index 0000000..a484321 Binary files /dev/null and b/i915/icl_huc_ver8_4_3238.bin differ diff --git a/i915/kbl_dmc_ver1_01.bin b/i915/kbl_dmc_ver1_01.bin new file mode 100644 index 0000000..d1bc1c3 Binary files /dev/null and b/i915/kbl_dmc_ver1_01.bin differ diff --git a/i915/kbl_dmc_ver1_04.bin b/i915/kbl_dmc_ver1_04.bin new file mode 100644 index 0000000..487b7e5 Binary files /dev/null and b/i915/kbl_dmc_ver1_04.bin differ diff --git a/i915/kbl_guc_32.0.3.bin b/i915/kbl_guc_32.0.3.bin new file mode 100644 index 0000000..d3b8a44 Binary files /dev/null and b/i915/kbl_guc_32.0.3.bin differ diff --git a/i915/kbl_guc_33.0.0.bin b/i915/kbl_guc_33.0.0.bin new file mode 100644 index 0000000..e1b9377 Binary files /dev/null and b/i915/kbl_guc_33.0.0.bin differ diff --git a/i915/kbl_guc_49.0.1.bin b/i915/kbl_guc_49.0.1.bin new file mode 100644 index 0000000..942b034 Binary files /dev/null and b/i915/kbl_guc_49.0.1.bin differ diff --git a/i915/kbl_guc_62.0.0.bin b/i915/kbl_guc_62.0.0.bin new file mode 100644 index 0000000..18896bc Binary files /dev/null and b/i915/kbl_guc_62.0.0.bin differ diff --git a/i915/kbl_guc_69.0.3.bin b/i915/kbl_guc_69.0.3.bin new file mode 100644 index 0000000..bc6e47e Binary files /dev/null and b/i915/kbl_guc_69.0.3.bin differ diff --git a/i915/kbl_guc_70.1.1.bin b/i915/kbl_guc_70.1.1.bin new file mode 100644 index 0000000..7633552 Binary files /dev/null and b/i915/kbl_guc_70.1.1.bin differ diff --git a/i915/kbl_guc_ver9_14.bin b/i915/kbl_guc_ver9_14.bin new file mode 100644 index 0000000..e5b979e Binary files /dev/null and b/i915/kbl_guc_ver9_14.bin differ diff --git a/i915/kbl_guc_ver9_39.bin b/i915/kbl_guc_ver9_39.bin new file mode 100644 index 0000000..5a8b5b5 Binary files /dev/null and b/i915/kbl_guc_ver9_39.bin differ diff --git a/i915/kbl_huc_4.0.0.bin b/i915/kbl_huc_4.0.0.bin new file mode 100644 index 0000000..da17bb7 Binary files /dev/null and b/i915/kbl_huc_4.0.0.bin differ diff --git a/i915/kbl_huc_ver02_00_1810.bin b/i915/kbl_huc_ver02_00_1810.bin new file mode 100644 index 0000000..4a210b4 Binary files /dev/null and b/i915/kbl_huc_ver02_00_1810.bin differ diff --git a/i915/mtl_dmc.bin b/i915/mtl_dmc.bin new file mode 100644 index 0000000..7747149 Binary files /dev/null and b/i915/mtl_dmc.bin differ diff --git a/i915/mtl_dmc_ver2_10.bin b/i915/mtl_dmc_ver2_10.bin new file mode 100644 index 0000000..d47511c Binary files /dev/null and b/i915/mtl_dmc_ver2_10.bin differ diff --git a/i915/mtl_guc_70.bin b/i915/mtl_guc_70.bin new file mode 100644 index 0000000..618b1fa Binary files /dev/null and b/i915/mtl_guc_70.bin differ diff --git a/i915/mtl_huc_gsc.bin b/i915/mtl_huc_gsc.bin new file mode 100755 index 0000000..9b9a67b Binary files /dev/null and b/i915/mtl_huc_gsc.bin differ diff --git a/i915/rkl_dmc_ver2_02.bin b/i915/rkl_dmc_ver2_02.bin new file mode 100644 index 0000000..e553fbc Binary files /dev/null and b/i915/rkl_dmc_ver2_02.bin differ diff --git a/i915/rkl_dmc_ver2_03.bin b/i915/rkl_dmc_ver2_03.bin new file mode 100644 index 0000000..2c70aba Binary files /dev/null and b/i915/rkl_dmc_ver2_03.bin differ diff --git a/i915/skl_dmc_ver1_23.bin b/i915/skl_dmc_ver1_23.bin new file mode 100644 index 0000000..72c32cd Binary files /dev/null and b/i915/skl_dmc_ver1_23.bin differ diff --git a/i915/skl_dmc_ver1_26.bin b/i915/skl_dmc_ver1_26.bin new file mode 100644 index 0000000..3dd8a7d Binary files /dev/null and b/i915/skl_dmc_ver1_26.bin differ diff --git a/i915/skl_dmc_ver1_27.bin b/i915/skl_dmc_ver1_27.bin new file mode 100644 index 0000000..b41d062 Binary files /dev/null and b/i915/skl_dmc_ver1_27.bin differ diff --git a/i915/skl_guc_32.0.3.bin b/i915/skl_guc_32.0.3.bin new file mode 100644 index 0000000..6c653ef Binary files /dev/null and b/i915/skl_guc_32.0.3.bin differ diff --git a/i915/skl_guc_33.0.0.bin b/i915/skl_guc_33.0.0.bin new file mode 100644 index 0000000..3cf084c Binary files /dev/null and b/i915/skl_guc_33.0.0.bin differ diff --git a/i915/skl_guc_49.0.1.bin b/i915/skl_guc_49.0.1.bin new file mode 100644 index 0000000..1a4aa25 Binary files /dev/null and b/i915/skl_guc_49.0.1.bin differ diff --git a/i915/skl_guc_62.0.0.bin b/i915/skl_guc_62.0.0.bin new file mode 100644 index 0000000..ea12f5d Binary files /dev/null and b/i915/skl_guc_62.0.0.bin differ diff --git a/i915/skl_guc_69.0.3.bin b/i915/skl_guc_69.0.3.bin new file mode 100644 index 0000000..d078038 Binary files /dev/null and b/i915/skl_guc_69.0.3.bin differ diff --git a/i915/skl_guc_70.1.1.bin b/i915/skl_guc_70.1.1.bin new file mode 100644 index 0000000..d18d9f1 Binary files /dev/null and b/i915/skl_guc_70.1.1.bin differ diff --git a/i915/skl_guc_ver1.bin b/i915/skl_guc_ver1.bin new file mode 100644 index 0000000..1b1ab15 Binary files /dev/null and b/i915/skl_guc_ver1.bin differ diff --git a/i915/skl_guc_ver4.bin b/i915/skl_guc_ver4.bin new file mode 100644 index 0000000..8571de2 Binary files /dev/null and b/i915/skl_guc_ver4.bin differ diff --git a/i915/skl_guc_ver6_1.bin b/i915/skl_guc_ver6_1.bin new file mode 100644 index 0000000..5c626ea Binary files /dev/null and b/i915/skl_guc_ver6_1.bin differ diff --git a/i915/skl_guc_ver9_33.bin b/i915/skl_guc_ver9_33.bin new file mode 100644 index 0000000..f47b7bf Binary files /dev/null and b/i915/skl_guc_ver9_33.bin differ diff --git a/i915/skl_huc_2.0.0.bin b/i915/skl_huc_2.0.0.bin new file mode 100644 index 0000000..220f67f Binary files /dev/null and b/i915/skl_huc_2.0.0.bin differ diff --git a/i915/skl_huc_ver01_07_1398.bin b/i915/skl_huc_ver01_07_1398.bin new file mode 100644 index 0000000..9ad48ef Binary files /dev/null and b/i915/skl_huc_ver01_07_1398.bin differ diff --git a/i915/tgl_dmc_ver2_04.bin b/i915/tgl_dmc_ver2_04.bin new file mode 100644 index 0000000..9129686 Binary files /dev/null and b/i915/tgl_dmc_ver2_04.bin differ diff --git a/i915/tgl_dmc_ver2_06.bin b/i915/tgl_dmc_ver2_06.bin new file mode 100644 index 0000000..ea0fe9a Binary files /dev/null and b/i915/tgl_dmc_ver2_06.bin differ diff --git a/i915/tgl_dmc_ver2_08.bin b/i915/tgl_dmc_ver2_08.bin new file mode 100644 index 0000000..9db379c Binary files /dev/null and b/i915/tgl_dmc_ver2_08.bin differ diff --git a/i915/tgl_dmc_ver2_12.bin b/i915/tgl_dmc_ver2_12.bin new file mode 100644 index 0000000..2995a5a Binary files /dev/null and b/i915/tgl_dmc_ver2_12.bin differ diff --git a/i915/tgl_guc_35.2.0.bin b/i915/tgl_guc_35.2.0.bin new file mode 100644 index 0000000..578d020 Binary files /dev/null and b/i915/tgl_guc_35.2.0.bin differ diff --git a/i915/tgl_guc_49.0.1.bin b/i915/tgl_guc_49.0.1.bin new file mode 100644 index 0000000..7135c84 Binary files /dev/null and b/i915/tgl_guc_49.0.1.bin differ diff --git a/i915/tgl_guc_62.0.0.bin b/i915/tgl_guc_62.0.0.bin new file mode 100644 index 0000000..ce6837e Binary files /dev/null and b/i915/tgl_guc_62.0.0.bin differ diff --git a/i915/tgl_guc_69.0.3.bin b/i915/tgl_guc_69.0.3.bin new file mode 100644 index 0000000..4c78333 Binary files /dev/null and b/i915/tgl_guc_69.0.3.bin differ diff --git a/i915/tgl_guc_70.1.1.bin b/i915/tgl_guc_70.1.1.bin new file mode 100644 index 0000000..165e0e0 Binary files /dev/null and b/i915/tgl_guc_70.1.1.bin differ diff --git a/i915/tgl_guc_70.bin b/i915/tgl_guc_70.bin new file mode 100644 index 0000000..50268bd Binary files /dev/null and b/i915/tgl_guc_70.bin differ diff --git a/i915/tgl_huc.bin b/i915/tgl_huc.bin new file mode 100644 index 0000000..abe9f1d Binary files /dev/null and b/i915/tgl_huc.bin differ diff --git a/i915/tgl_huc_7.0.12.bin b/i915/tgl_huc_7.0.12.bin new file mode 100644 index 0000000..a7b93be Binary files /dev/null and b/i915/tgl_huc_7.0.12.bin differ diff --git a/i915/tgl_huc_7.0.3.bin b/i915/tgl_huc_7.0.3.bin new file mode 100644 index 0000000..d85b4be Binary files /dev/null and b/i915/tgl_huc_7.0.3.bin differ diff --git a/i915/tgl_huc_7.5.0.bin b/i915/tgl_huc_7.5.0.bin new file mode 100644 index 0000000..bed10f3 Binary files /dev/null and b/i915/tgl_huc_7.5.0.bin differ diff --git a/i915/tgl_huc_7.9.3.bin b/i915/tgl_huc_7.9.3.bin new file mode 100644 index 0000000..abe9f1d Binary files /dev/null and b/i915/tgl_huc_7.9.3.bin differ -- cgit v1.2.3