From ffccd5b2b05243e7976db80f90f453dccfae9886 Mon Sep 17 00:00:00 2001 From: Daniel Baumann Date: Mon, 15 Apr 2024 22:22:03 +0200 Subject: Adding upstream version 3:4.8.30. Signed-off-by: Daniel Baumann --- misc/syntax/Makefile.am | 113 ++ misc/syntax/Makefile.in | 745 ++++++++ misc/syntax/PKGBUILD.syntax | 452 +++++ misc/syntax/Syntax.in | 352 ++++ misc/syntax/ada95.syntax | 124 ++ misc/syntax/as.syntax | 200 ++ misc/syntax/aspx.syntax | 49 + misc/syntax/assembler.syntax | 402 ++++ misc/syntax/awk.syntax | 172 ++ misc/syntax/b.syntax | 382 ++++ misc/syntax/c.syntax | 108 ++ misc/syntax/cabal.syntax | 275 +++ misc/syntax/changelog.syntax | 34 + misc/syntax/cmake.syntax | 782 ++++++++ misc/syntax/cobol.syntax | 706 +++++++ misc/syntax/cs.syntax | 149 ++ misc/syntax/css.syntax | 550 ++++++ misc/syntax/cuda.syntax | 159 ++ misc/syntax/cxx.syntax | 126 ++ misc/syntax/cython.syntax | 304 +++ misc/syntax/d.syntax | 561 ++++++ misc/syntax/debian-changelog.syntax | 20 + misc/syntax/debian-control.syntax | 23 + misc/syntax/debian-description.syntax | 14 + misc/syntax/debian-sources-list.syntax | 70 + misc/syntax/diff.syntax | 32 + misc/syntax/dlink.syntax | 216 +++ misc/syntax/dos.syntax | 74 + misc/syntax/dot.syntax | 227 +++ misc/syntax/ebuild.syntax | 566 ++++++ misc/syntax/eiffel.syntax | 114 ++ misc/syntax/erlang.syntax | 299 +++ misc/syntax/f90.syntax | 278 +++ misc/syntax/filehighlight.syntax | 91 + misc/syntax/fortran.syntax | 245 +++ misc/syntax/glsl.syntax | 452 +++++ misc/syntax/go.syntax | 158 ++ misc/syntax/haskell.syntax | 283 +++ misc/syntax/hive.syntax | 627 +++++++ misc/syntax/html.syntax | 1267 +++++++++++++ misc/syntax/idl.syntax | 107 ++ misc/syntax/ini.syntax | 18 + misc/syntax/j.syntax | 42 + misc/syntax/jal.syntax | 240 +++ misc/syntax/java.syntax | 136 ++ misc/syntax/js.syntax | 273 +++ misc/syntax/json.syntax | 39 + misc/syntax/kotlin.syntax | 188 ++ misc/syntax/latex.syntax | 274 +++ misc/syntax/lisp.syntax | 84 + misc/syntax/lkr.syntax | 76 + misc/syntax/lsm.syntax | 32 + misc/syntax/lua.syntax | 295 +++ misc/syntax/m4.syntax | 110 ++ misc/syntax/mail.syntax | 73 + misc/syntax/makefile.syntax | 71 + misc/syntax/markdown.syntax | 30 + misc/syntax/meson.syntax | 91 + misc/syntax/ml.syntax | 95 + misc/syntax/named.syntax | 191 ++ misc/syntax/nemerle.syntax | 169 ++ misc/syntax/nroff.syntax | 229 +++ misc/syntax/octave.syntax | 373 ++++ misc/syntax/opencl.syntax | 178 ++ misc/syntax/osl.syntax | 139 ++ misc/syntax/pascal.syntax | 140 ++ misc/syntax/perl.syntax | 364 ++++ misc/syntax/php.syntax | 3161 ++++++++++++++++++++++++++++++++ misc/syntax/po.syntax | 70 + misc/syntax/povray.syntax | 254 +++ misc/syntax/privoxy.syntax | 155 ++ misc/syntax/procmail.syntax | 271 +++ misc/syntax/properties.syntax | 35 + misc/syntax/protobuf.syntax | 57 + misc/syntax/puppet.syntax | 684 +++++++ misc/syntax/python.syntax | 278 +++ misc/syntax/r.syntax | 164 ++ misc/syntax/ruby.syntax | 230 +++ misc/syntax/rust.syntax | 153 ++ misc/syntax/sh.syntax | 2192 ++++++++++++++++++++++ misc/syntax/slang.syntax | 109 ++ misc/syntax/smalltalk.syntax | 158 ++ misc/syntax/spec.syntax | 395 ++++ misc/syntax/spice.syntax | 420 +++++ misc/syntax/sql.syntax | 367 ++++ misc/syntax/strace.syntax | 42 + misc/syntax/swift.syntax | 223 +++ misc/syntax/swig.syntax | 159 ++ misc/syntax/syntax.syntax | 60 + misc/syntax/tcl.syntax | 147 ++ misc/syntax/texinfo.syntax | 266 +++ misc/syntax/toml.syntax | 22 + misc/syntax/ts.syntax | 297 +++ misc/syntax/tt.syntax | 126 ++ misc/syntax/unknown.syntax | 2 + misc/syntax/verilog.syntax | 592 ++++++ misc/syntax/vhdl.syntax | 193 ++ misc/syntax/xml.syntax | 46 + misc/syntax/yabasic.syntax | 195 ++ misc/syntax/yaml.syntax | 41 + misc/syntax/yum-repo.syntax | 15 + misc/syntax/yxx.syntax | 205 +++ 102 files changed, 27642 insertions(+) create mode 100644 misc/syntax/Makefile.am create mode 100644 misc/syntax/Makefile.in create mode 100644 misc/syntax/PKGBUILD.syntax create mode 100644 misc/syntax/Syntax.in create mode 100644 misc/syntax/ada95.syntax create mode 100644 misc/syntax/as.syntax create mode 100644 misc/syntax/aspx.syntax create mode 100644 misc/syntax/assembler.syntax create mode 100644 misc/syntax/awk.syntax create mode 100644 misc/syntax/b.syntax create mode 100644 misc/syntax/c.syntax create mode 100644 misc/syntax/cabal.syntax create mode 100644 misc/syntax/changelog.syntax create mode 100644 misc/syntax/cmake.syntax create mode 100644 misc/syntax/cobol.syntax create mode 100644 misc/syntax/cs.syntax create mode 100644 misc/syntax/css.syntax create mode 100644 misc/syntax/cuda.syntax create mode 100644 misc/syntax/cxx.syntax create mode 100644 misc/syntax/cython.syntax create mode 100644 misc/syntax/d.syntax create mode 100644 misc/syntax/debian-changelog.syntax create mode 100644 misc/syntax/debian-control.syntax create mode 100644 misc/syntax/debian-description.syntax create mode 100644 misc/syntax/debian-sources-list.syntax create mode 100644 misc/syntax/diff.syntax create mode 100644 misc/syntax/dlink.syntax create mode 100644 misc/syntax/dos.syntax create mode 100644 misc/syntax/dot.syntax create mode 100644 misc/syntax/ebuild.syntax create mode 100644 misc/syntax/eiffel.syntax create mode 100644 misc/syntax/erlang.syntax create mode 100644 misc/syntax/f90.syntax create mode 100644 misc/syntax/filehighlight.syntax create mode 100644 misc/syntax/fortran.syntax create mode 100644 misc/syntax/glsl.syntax create mode 100644 misc/syntax/go.syntax create mode 100644 misc/syntax/haskell.syntax create mode 100644 misc/syntax/hive.syntax create mode 100644 misc/syntax/html.syntax create mode 100644 misc/syntax/idl.syntax create mode 100644 misc/syntax/ini.syntax create mode 100644 misc/syntax/j.syntax create mode 100644 misc/syntax/jal.syntax create mode 100644 misc/syntax/java.syntax create mode 100644 misc/syntax/js.syntax create mode 100644 misc/syntax/json.syntax create mode 100644 misc/syntax/kotlin.syntax create mode 100644 misc/syntax/latex.syntax create mode 100644 misc/syntax/lisp.syntax create mode 100644 misc/syntax/lkr.syntax create mode 100644 misc/syntax/lsm.syntax create mode 100644 misc/syntax/lua.syntax create mode 100644 misc/syntax/m4.syntax create mode 100644 misc/syntax/mail.syntax create mode 100644 misc/syntax/makefile.syntax create mode 100644 misc/syntax/markdown.syntax create mode 100644 misc/syntax/meson.syntax create mode 100644 misc/syntax/ml.syntax create mode 100644 misc/syntax/named.syntax create mode 100644 misc/syntax/nemerle.syntax create mode 100644 misc/syntax/nroff.syntax create mode 100644 misc/syntax/octave.syntax create mode 100644 misc/syntax/opencl.syntax create mode 100644 misc/syntax/osl.syntax create mode 100644 misc/syntax/pascal.syntax create mode 100644 misc/syntax/perl.syntax create mode 100644 misc/syntax/php.syntax create mode 100644 misc/syntax/po.syntax create mode 100644 misc/syntax/povray.syntax create mode 100644 misc/syntax/privoxy.syntax create mode 100644 misc/syntax/procmail.syntax create mode 100644 misc/syntax/properties.syntax create mode 100644 misc/syntax/protobuf.syntax create mode 100644 misc/syntax/puppet.syntax create mode 100644 misc/syntax/python.syntax create mode 100644 misc/syntax/r.syntax create mode 100644 misc/syntax/ruby.syntax create mode 100644 misc/syntax/rust.syntax create mode 100644 misc/syntax/sh.syntax create mode 100644 misc/syntax/slang.syntax create mode 100644 misc/syntax/smalltalk.syntax create mode 100644 misc/syntax/spec.syntax create mode 100644 misc/syntax/spice.syntax create mode 100644 misc/syntax/sql.syntax create mode 100644 misc/syntax/strace.syntax create mode 100644 misc/syntax/swift.syntax create mode 100644 misc/syntax/swig.syntax create mode 100644 misc/syntax/syntax.syntax create mode 100644 misc/syntax/tcl.syntax create mode 100644 misc/syntax/texinfo.syntax create mode 100644 misc/syntax/toml.syntax create mode 100644 misc/syntax/ts.syntax create mode 100644 misc/syntax/tt.syntax create mode 100644 misc/syntax/unknown.syntax create mode 100644 misc/syntax/verilog.syntax create mode 100644 misc/syntax/vhdl.syntax create mode 100644 misc/syntax/xml.syntax create mode 100644 misc/syntax/yabasic.syntax create mode 100644 misc/syntax/yaml.syntax create mode 100644 misc/syntax/yum-repo.syntax create mode 100644 misc/syntax/yxx.syntax (limited to 'misc/syntax') diff --git a/misc/syntax/Makefile.am b/misc/syntax/Makefile.am new file mode 100644 index 0000000..a6f12d9 --- /dev/null +++ b/misc/syntax/Makefile.am @@ -0,0 +1,113 @@ +SYNTAX_IN = Syntax.in + +SYNTAX_OUT = Syntax + +SYNTAXFILES = \ + PKGBUILD.syntax \ + ada95.syntax \ + as.syntax \ + aspx.syntax \ + assembler.syntax \ + awk.syntax \ + b.syntax \ + c.syntax \ + cabal.syntax \ + changelog.syntax \ + cmake.syntax \ + cobol.syntax \ + cs.syntax \ + css.syntax \ + cuda.syntax \ + cxx.syntax \ + cython.syntax \ + d.syntax \ + debian-changelog.syntax \ + debian-control.syntax \ + debian-description.syntax \ + debian-sources-list.syntax \ + diff.syntax \ + dlink.syntax \ + dos.syntax \ + dot.syntax \ + ebuild.syntax \ + eiffel.syntax \ + erlang.syntax \ + f90.syntax \ + filehighlight.syntax \ + fortran.syntax \ + glsl.syntax \ + go.syntax \ + haskell.syntax \ + hive.syntax \ + html.syntax \ + idl.syntax \ + ini.syntax \ + j.syntax \ + jal.syntax \ + java.syntax \ + js.syntax \ + json.syntax \ + kotlin.syntax \ + latex.syntax \ + lisp.syntax \ + lkr.syntax \ + lsm.syntax \ + lua.syntax \ + m4.syntax \ + mail.syntax \ + makefile.syntax \ + markdown.syntax \ + ml.syntax \ + meson.syntax \ + named.syntax \ + nemerle.syntax \ + nroff.syntax \ + octave.syntax \ + opencl.syntax \ + osl.syntax \ + pascal.syntax \ + perl.syntax \ + php.syntax \ + po.syntax \ + povray.syntax \ + privoxy.syntax \ + procmail.syntax \ + properties.syntax \ + protobuf.syntax \ + puppet.syntax \ + python.syntax \ + r.syntax \ + ruby.syntax \ + rust.syntax \ + sh.syntax \ + slang.syntax \ + smalltalk.syntax \ + spec.syntax \ + spice.syntax \ + sql.syntax \ + strace.syntax \ + swift.syntax \ + swig.syntax \ + syntax.syntax \ + tcl.syntax \ + texinfo.syntax \ + toml.syntax \ + ts.syntax \ + tt.syntax \ + unknown.syntax \ + verilog.syntax \ + vhdl.syntax \ + xml.syntax \ + yabasic.syntax \ + yaml.syntax \ + yum-repo.syntax \ + yxx.syntax + +if USE_INTERNAL_EDIT +syntaxdir = $(pkgdatadir)/syntax +syntax_DATA = $(SYNTAX_OUT) \ + $(SYNTAXFILES) +endif + +EXTRA_DIST = $(SYNTAX_IN) \ + $(SYNTAXFILES) diff --git a/misc/syntax/Makefile.in b/misc/syntax/Makefile.in new file mode 100644 index 0000000..5d495f5 --- /dev/null +++ b/misc/syntax/Makefile.in @@ -0,0 +1,745 @@ +# Makefile.in generated by automake 1.16.5 from Makefile.am. +# @configure_input@ + +# Copyright (C) 1994-2021 Free Software Foundation, Inc. + +# This Makefile.in is free software; the Free Software Foundation +# gives unlimited permission to copy and/or distribute it, +# with or without modifications, as long as this notice is preserved. + +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY, to the extent permitted by law; without +# even the implied warranty of MERCHANTABILITY or FITNESS FOR A +# PARTICULAR PURPOSE. + +@SET_MAKE@ + +VPATH = @srcdir@ +am__is_gnu_make = { \ + if test -z '$(MAKELEVEL)'; then \ + false; \ + elif test -n '$(MAKE_HOST)'; then \ + true; \ + elif test -n '$(MAKE_VERSION)' && test -n '$(CURDIR)'; then \ + true; \ + else \ + false; \ + fi; \ +} +am__make_running_with_option = \ + case $${target_option-} in \ + ?) ;; \ + *) echo "am__make_running_with_option: internal error: invalid" \ + "target option '$${target_option-}' specified" >&2; \ + exit 1;; \ + esac; \ + has_opt=no; \ + sane_makeflags=$$MAKEFLAGS; \ + if $(am__is_gnu_make); then \ + sane_makeflags=$$MFLAGS; \ + else \ + case $$MAKEFLAGS in \ + *\\[\ \ ]*) \ + bs=\\; \ + sane_makeflags=`printf '%s\n' "$$MAKEFLAGS" \ + | sed "s/$$bs$$bs[$$bs $$bs ]*//g"`;; \ + esac; \ + fi; \ + skip_next=no; \ + strip_trailopt () \ + { \ + flg=`printf '%s\n' "$$flg" | sed "s/$$1.*$$//"`; \ + }; \ + for flg in $$sane_makeflags; do \ + test $$skip_next = yes && { skip_next=no; continue; }; \ + case $$flg in \ + *=*|--*) continue;; \ + -*I) strip_trailopt 'I'; skip_next=yes;; \ + -*I?*) strip_trailopt 'I';; \ + -*O) strip_trailopt 'O'; skip_next=yes;; \ + -*O?*) strip_trailopt 'O';; \ + -*l) strip_trailopt 'l'; skip_next=yes;; \ + -*l?*) strip_trailopt 'l';; \ + -[dEDm]) skip_next=yes;; \ + -[JT]) skip_next=yes;; \ + esac; \ + case $$flg in \ + *$$target_option*) has_opt=yes; break;; \ + esac; \ + done; \ + test $$has_opt = yes +am__make_dryrun = (target_option=n; $(am__make_running_with_option)) +am__make_keepgoing = (target_option=k; $(am__make_running_with_option)) +pkgdatadir = $(datadir)/@PACKAGE@ +pkgincludedir = $(includedir)/@PACKAGE@ +pkglibdir = $(libdir)/@PACKAGE@ +pkglibexecdir = $(libexecdir)/@PACKAGE@ +am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd +install_sh_DATA = $(install_sh) -c -m 644 +install_sh_PROGRAM = $(install_sh) -c +install_sh_SCRIPT = $(install_sh) -c +INSTALL_HEADER = $(INSTALL_DATA) +transform = $(program_transform_name) +NORMAL_INSTALL = : +PRE_INSTALL = : +POST_INSTALL = : +NORMAL_UNINSTALL = : +PRE_UNINSTALL = : +POST_UNINSTALL = : +build_triplet = @build@ +host_triplet = @host@ +subdir = misc/syntax +ACLOCAL_M4 = $(top_srcdir)/aclocal.m4 +am__aclocal_m4_deps = $(top_srcdir)/m4/gettext.m4 \ + $(top_srcdir)/m4/iconv.m4 $(top_srcdir)/m4/intlmacosx.m4 \ + $(top_srcdir)/m4/lib-ld.m4 $(top_srcdir)/m4/lib-link.m4 \ + $(top_srcdir)/m4/lib-prefix.m4 $(top_srcdir)/m4/libtool.m4 \ + $(top_srcdir)/m4/longlong.m4 $(top_srcdir)/m4/ltoptions.m4 \ + $(top_srcdir)/m4/ltsugar.m4 $(top_srcdir)/m4/ltversion.m4 \ + $(top_srcdir)/m4/lt~obsolete.m4 $(top_srcdir)/m4/nls.m4 \ + $(top_srcdir)/m4/po.m4 $(top_srcdir)/m4/progtest.m4 \ + $(top_srcdir)/acinclude.m4 \ + $(top_srcdir)/m4.include/gnulib/mode_t.m4 \ + $(top_srcdir)/m4.include/gnulib/stat-size.m4 \ + $(top_srcdir)/m4.include/gnulib/fstypename.m4 \ + $(top_srcdir)/m4.include/gnulib/fsusage.m4 \ + $(top_srcdir)/m4.include/gnulib/mountlist.m4 \ + $(top_srcdir)/m4.include/gnulib/windows-stat-inodes.m4 \ + $(top_srcdir)/m4.include/gnulib/sys_types_h.m4 \ + $(top_srcdir)/m4.include/ax_path_lib_pcre.m4 \ + $(top_srcdir)/m4.include/ax_check_pcre2.m4 \ + $(top_srcdir)/m4.include/dx_doxygen.m4 \ + $(top_srcdir)/m4.include/ax_require_defined.m4 \ + $(top_srcdir)/m4.include/ax_check_compile_flag.m4 \ + $(top_srcdir)/m4.include/ax_append_flag.m4 \ + $(top_srcdir)/m4.include/ax_append_compile_flags.m4 \ + $(top_srcdir)/m4.include/mc-cflags.m4 \ + $(top_srcdir)/m4.include/ax_gcc_func_attribute.m4 \ + $(top_srcdir)/m4.include/mc-check-search-type.m4 \ + $(top_srcdir)/m4.include/mc-get-fs-info.m4 \ + $(top_srcdir)/m4.include/mc-with-x.m4 \ + $(top_srcdir)/m4.include/mc-use-termcap.m4 \ + $(top_srcdir)/m4.include/mc-with-screen.m4 \ + $(top_srcdir)/m4.include/mc-with-screen-ncurses.m4 \ + $(top_srcdir)/m4.include/mc-with-screen-slang.m4 \ + $(top_srcdir)/m4.include/mc-with-internal-edit.m4 \ + $(top_srcdir)/m4.include/mc-subshell.m4 \ + $(top_srcdir)/m4.include/mc-background.m4 \ + $(top_srcdir)/m4.include/mc-ext2fs-attr.m4 \ + $(top_srcdir)/m4.include/mc-glib.m4 \ + $(top_srcdir)/m4.include/mc-vfs.m4 \ + $(top_srcdir)/m4.include/vfs/rpc.m4 \ + $(top_srcdir)/m4.include/vfs/socket.m4 \ + $(top_srcdir)/m4.include/vfs/mc-vfs-extfs.m4 \ + $(top_srcdir)/m4.include/vfs/mc-vfs-sfs.m4 \ + $(top_srcdir)/m4.include/vfs/mc-vfs-ftp.m4 \ + $(top_srcdir)/m4.include/vfs/mc-vfs-sftp.m4 \ + $(top_srcdir)/m4.include/vfs/mc-vfs-fish.m4 \ + $(top_srcdir)/m4.include/vfs/mc-vfs-undelfs.m4 \ + $(top_srcdir)/m4.include/vfs/mc-vfs-tarfs.m4 \ + $(top_srcdir)/m4.include/vfs/mc-vfs-cpiofs.m4 \ + $(top_srcdir)/m4.include/mc-version.m4 \ + $(top_srcdir)/m4.include/mc-tests.m4 \ + $(top_srcdir)/m4.include/mc-i18n.m4 \ + $(top_srcdir)/m4.include/mc-assert.m4 \ + $(top_srcdir)/configure.ac +am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \ + $(ACLOCAL_M4) +DIST_COMMON = $(srcdir)/Makefile.am $(am__DIST_COMMON) +mkinstalldirs = $(install_sh) -d +CONFIG_HEADER = $(top_builddir)/config.h +CONFIG_CLEAN_FILES = Syntax +CONFIG_CLEAN_VPATH_FILES = +AM_V_P = $(am__v_P_@AM_V@) +am__v_P_ = $(am__v_P_@AM_DEFAULT_V@) +am__v_P_0 = false +am__v_P_1 = : +AM_V_GEN = $(am__v_GEN_@AM_V@) +am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@) +am__v_GEN_0 = @echo " GEN " $@; +am__v_GEN_1 = +AM_V_at = $(am__v_at_@AM_V@) +am__v_at_ = $(am__v_at_@AM_DEFAULT_V@) +am__v_at_0 = @ +am__v_at_1 = +SOURCES = +DIST_SOURCES = +am__can_run_installinfo = \ + case $$AM_UPDATE_INFO_DIR in \ + n|no|NO) false;; \ + *) (install-info --version) >/dev/null 2>&1;; \ + esac +am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`; +am__vpath_adj = case $$p in \ + $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \ + *) f=$$p;; \ + esac; +am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`; +am__install_max = 40 +am__nobase_strip_setup = \ + srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'` +am__nobase_strip = \ + for p in $$list; do echo "$$p"; done | sed -e "s|$$srcdirstrip/||" +am__nobase_list = $(am__nobase_strip_setup); \ + for p in $$list; do echo "$$p $$p"; done | \ + sed "s| $$srcdirstrip/| |;"' / .*\//!s/ .*/ ./; s,\( .*\)/[^/]*$$,\1,' | \ + $(AWK) 'BEGIN { files["."] = "" } { files[$$2] = files[$$2] " " $$1; \ + if (++n[$$2] == $(am__install_max)) \ + { print $$2, files[$$2]; n[$$2] = 0; files[$$2] = "" } } \ + END { for (dir in files) print dir, files[dir] }' +am__base_list = \ + sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \ + sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g' +am__uninstall_files_from_dir = { \ + test -z "$$files" \ + || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \ + || { echo " ( cd '$$dir' && rm -f" $$files ")"; \ + $(am__cd) "$$dir" && rm -f $$files; }; \ + } +am__installdirs = "$(DESTDIR)$(syntaxdir)" +DATA = $(syntax_DATA) +am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP) +am__DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Syntax.in +DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST) +ACLOCAL = @ACLOCAL@ +AMTAR = @AMTAR@ +AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@ +AR = @AR@ +AUTOCONF = @AUTOCONF@ +AUTOHEADER = @AUTOHEADER@ +AUTOMAKE = @AUTOMAKE@ +AWK = @AWK@ +CC = @CC@ +CCDEPMODE = @CCDEPMODE@ +CFLAGS = @CFLAGS@ +CHECK_CFLAGS = @CHECK_CFLAGS@ +CHECK_LIBS = @CHECK_LIBS@ +COM_ERR_CFLAGS = @COM_ERR_CFLAGS@ +COM_ERR_LIBS = @COM_ERR_LIBS@ +CP1251 = @CP1251@ +CPP = @CPP@ +CPPFLAGS = @CPPFLAGS@ +CSCOPE = @CSCOPE@ +CTAGS = @CTAGS@ +CYGPATH_W = @CYGPATH_W@ +DEFS = @DEFS@ +DEPDIR = @DEPDIR@ +DLLTOOL = @DLLTOOL@ +DOC_LINGUAS = @DOC_LINGUAS@ +DOXYGEN_PAPER_SIZE = @DOXYGEN_PAPER_SIZE@ +DSYMUTIL = @DSYMUTIL@ +DUMPBIN = @DUMPBIN@ +DX_CONFIG = @DX_CONFIG@ +DX_DOCDIR = @DX_DOCDIR@ +DX_DOT = @DX_DOT@ +DX_DOXYGEN = @DX_DOXYGEN@ +DX_DVIPS = @DX_DVIPS@ +DX_EGREP = @DX_EGREP@ +DX_ENV = @DX_ENV@ +DX_FLAG_chi = @DX_FLAG_chi@ +DX_FLAG_chm = @DX_FLAG_chm@ +DX_FLAG_doc = @DX_FLAG_doc@ +DX_FLAG_dot = @DX_FLAG_dot@ +DX_FLAG_html = @DX_FLAG_html@ +DX_FLAG_man = @DX_FLAG_man@ +DX_FLAG_pdf = @DX_FLAG_pdf@ +DX_FLAG_ps = @DX_FLAG_ps@ +DX_FLAG_rtf = @DX_FLAG_rtf@ +DX_FLAG_xml = @DX_FLAG_xml@ +DX_HHC = @DX_HHC@ +DX_LATEX = @DX_LATEX@ +DX_MAKEINDEX = @DX_MAKEINDEX@ +DX_PDFLATEX = @DX_PDFLATEX@ +DX_PERL = @DX_PERL@ +DX_PROJECT = @DX_PROJECT@ +E2P_CFLAGS = @E2P_CFLAGS@ +E2P_LIBS = @E2P_LIBS@ +ECHO_C = @ECHO_C@ +ECHO_N = @ECHO_N@ +ECHO_T = @ECHO_T@ +EGREP = @EGREP@ +ETAGS = @ETAGS@ +EXEEXT = @EXEEXT@ +EXT2FS_CFLAGS = @EXT2FS_CFLAGS@ +EXT2FS_LIBS = @EXT2FS_LIBS@ +EXTHELPERSDIR = @EXTHELPERSDIR@ +FGREP = @FGREP@ +FILECMD = @FILECMD@ +GETTEXT_MACRO_VERSION = @GETTEXT_MACRO_VERSION@ +GLIB_CFLAGS = @GLIB_CFLAGS@ +GLIB_LIBS = @GLIB_LIBS@ +GMODULE_CFLAGS = @GMODULE_CFLAGS@ +GMODULE_LIBS = @GMODULE_LIBS@ +GMSGFMT = @GMSGFMT@ +GMSGFMT_015 = @GMSGFMT_015@ +GREP = @GREP@ +HAVE_FILECMD = @HAVE_FILECMD@ +HAVE_ZIPINFO = @HAVE_ZIPINFO@ +HAVE_nroff = @HAVE_nroff@ +INSTALL = @INSTALL@ +INSTALL_DATA = @INSTALL_DATA@ +INSTALL_PROGRAM = @INSTALL_PROGRAM@ +INSTALL_SCRIPT = @INSTALL_SCRIPT@ +INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@ +INTLLIBS = @INTLLIBS@ +INTL_MACOSX_LIBS = @INTL_MACOSX_LIBS@ +LD = @LD@ +LDFLAGS = @LDFLAGS@ +LIBICONV = @LIBICONV@ +LIBINTL = @LIBINTL@ +LIBMC_RELEASE = @LIBMC_RELEASE@ +LIBMC_VERSION = @LIBMC_VERSION@ +LIBOBJS = @LIBOBJS@ +LIBS = @LIBS@ +LIBSSH_CFLAGS = @LIBSSH_CFLAGS@ +LIBSSH_LIBS = @LIBSSH_LIBS@ +LIBTOOL = @LIBTOOL@ +LIPO = @LIPO@ +LN_S = @LN_S@ +LTLIBICONV = @LTLIBICONV@ +LTLIBINTL = @LTLIBINTL@ +LTLIBOBJS = @LTLIBOBJS@ +LT_SYS_LIBRARY_PATH = @LT_SYS_LIBRARY_PATH@ +MAINT = @MAINT@ +MAKEINFO = @MAKEINFO@ +MANDOC = @MANDOC@ +MANIFEST_TOOL = @MANIFEST_TOOL@ +MAN_DATE = @MAN_DATE@ +MAN_FLAGS = @MAN_FLAGS@ +MAN_VERSION = @MAN_VERSION@ +MCLIBS = @MCLIBS@ +MKDIR_P = @MKDIR_P@ +MSGFMT = @MSGFMT@ +MSGFMT_015 = @MSGFMT_015@ +MSGMERGE = @MSGMERGE@ +NM = @NM@ +NMEDIT = @NMEDIT@ +OBJDUMP = @OBJDUMP@ +OBJEXT = @OBJEXT@ +OTOOL = @OTOOL@ +OTOOL64 = @OTOOL64@ +PACKAGE = @PACKAGE@ +PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@ +PACKAGE_NAME = @PACKAGE_NAME@ +PACKAGE_STRING = @PACKAGE_STRING@ +PACKAGE_TARNAME = @PACKAGE_TARNAME@ +PACKAGE_URL = @PACKAGE_URL@ +PACKAGE_VERSION = @PACKAGE_VERSION@ +PATH_SEPARATOR = @PATH_SEPARATOR@ +PCRE_CFLAGS = @PCRE_CFLAGS@ +PCRE_LIBS = @PCRE_LIBS@ +PERL = @PERL@ +PERL_FOR_BUILD = @PERL_FOR_BUILD@ +PKG_CONFIG = @PKG_CONFIG@ +PKG_CONFIG_LIBDIR = @PKG_CONFIG_LIBDIR@ +PKG_CONFIG_PATH = @PKG_CONFIG_PATH@ +POSUB = @POSUB@ +PYTHON = @PYTHON@ +RANLIB = @RANLIB@ +RUBY = @RUBY@ +SED = @SED@ +SET_MAKE = @SET_MAKE@ +SHELL = @SHELL@ +SLANG_CFLAGS = @SLANG_CFLAGS@ +SLANG_LIBS = @SLANG_LIBS@ +STRIP = @STRIP@ +TESTS_LDFLAGS = @TESTS_LDFLAGS@ +UNZIP = @UNZIP@ +USE_NLS = @USE_NLS@ +VERSION = @VERSION@ +X11_WWW = @X11_WWW@ +XGETTEXT = @XGETTEXT@ +XGETTEXT_015 = @XGETTEXT_015@ +XGETTEXT_EXTRA_OPTIONS = @XGETTEXT_EXTRA_OPTIONS@ +XMKMF = @XMKMF@ +X_CFLAGS = @X_CFLAGS@ +X_EXTRA_LIBS = @X_EXTRA_LIBS@ +X_LIBS = @X_LIBS@ +X_PRE_LIBS = @X_PRE_LIBS@ +ZIP = @ZIP@ +abs_builddir = @abs_builddir@ +abs_srcdir = @abs_srcdir@ +abs_top_builddir = @abs_top_builddir@ +abs_top_srcdir = @abs_top_srcdir@ +ac_ct_AR = @ac_ct_AR@ +ac_ct_CC = @ac_ct_CC@ +ac_ct_DUMPBIN = @ac_ct_DUMPBIN@ +am__include = @am__include@ +am__leading_dot = @am__leading_dot@ +am__quote = @am__quote@ +am__tar = @am__tar@ +am__untar = @am__untar@ +bindir = @bindir@ +build = @build@ +build_alias = @build_alias@ +build_cpu = @build_cpu@ +build_os = @build_os@ +build_vendor = @build_vendor@ +builddir = @builddir@ +datadir = @datadir@ +datarootdir = @datarootdir@ +docdir = @docdir@ +dvidir = @dvidir@ +exec_prefix = @exec_prefix@ +host = @host@ +host_alias = @host_alias@ +host_cpu = @host_cpu@ +host_os = @host_os@ +host_vendor = @host_vendor@ +htmldir = @htmldir@ +includedir = @includedir@ +infodir = @infodir@ +install_sh = @install_sh@ +libdir = @libdir@ +libexecdir = @libexecdir@ +localedir = @localedir@ +localstatedir = @localstatedir@ +mandir = @mandir@ +mkdir_p = @mkdir_p@ +oldincludedir = @oldincludedir@ +pdfdir = @pdfdir@ +prefix = @prefix@ +program_transform_name = @program_transform_name@ +psdir = @psdir@ +runstatedir = @runstatedir@ +sbindir = @sbindir@ +sharedstatedir = @sharedstatedir@ +srcdir = @srcdir@ +sysconfdir = @sysconfdir@ +target_alias = @target_alias@ +top_build_prefix = @top_build_prefix@ +top_builddir = @top_builddir@ +top_srcdir = @top_srcdir@ +SYNTAX_IN = Syntax.in +SYNTAX_OUT = Syntax +SYNTAXFILES = \ + PKGBUILD.syntax \ + ada95.syntax \ + as.syntax \ + aspx.syntax \ + assembler.syntax \ + awk.syntax \ + b.syntax \ + c.syntax \ + cabal.syntax \ + changelog.syntax \ + cmake.syntax \ + cobol.syntax \ + cs.syntax \ + css.syntax \ + cuda.syntax \ + cxx.syntax \ + cython.syntax \ + d.syntax \ + debian-changelog.syntax \ + debian-control.syntax \ + debian-description.syntax \ + debian-sources-list.syntax \ + diff.syntax \ + dlink.syntax \ + dos.syntax \ + dot.syntax \ + ebuild.syntax \ + eiffel.syntax \ + erlang.syntax \ + f90.syntax \ + filehighlight.syntax \ + fortran.syntax \ + glsl.syntax \ + go.syntax \ + haskell.syntax \ + hive.syntax \ + html.syntax \ + idl.syntax \ + ini.syntax \ + j.syntax \ + jal.syntax \ + java.syntax \ + js.syntax \ + json.syntax \ + kotlin.syntax \ + latex.syntax \ + lisp.syntax \ + lkr.syntax \ + lsm.syntax \ + lua.syntax \ + m4.syntax \ + mail.syntax \ + makefile.syntax \ + markdown.syntax \ + ml.syntax \ + meson.syntax \ + named.syntax \ + nemerle.syntax \ + nroff.syntax \ + octave.syntax \ + opencl.syntax \ + osl.syntax \ + pascal.syntax \ + perl.syntax \ + php.syntax \ + po.syntax \ + povray.syntax \ + privoxy.syntax \ + procmail.syntax \ + properties.syntax \ + protobuf.syntax \ + puppet.syntax \ + python.syntax \ + r.syntax \ + ruby.syntax \ + rust.syntax \ + sh.syntax \ + slang.syntax \ + smalltalk.syntax \ + spec.syntax \ + spice.syntax \ + sql.syntax \ + strace.syntax \ + swift.syntax \ + swig.syntax \ + syntax.syntax \ + tcl.syntax \ + texinfo.syntax \ + toml.syntax \ + ts.syntax \ + tt.syntax \ + unknown.syntax \ + verilog.syntax \ + vhdl.syntax \ + xml.syntax \ + yabasic.syntax \ + yaml.syntax \ + yum-repo.syntax \ + yxx.syntax + +@USE_INTERNAL_EDIT_TRUE@syntaxdir = $(pkgdatadir)/syntax +@USE_INTERNAL_EDIT_TRUE@syntax_DATA = $(SYNTAX_OUT) \ +@USE_INTERNAL_EDIT_TRUE@ $(SYNTAXFILES) + +EXTRA_DIST = $(SYNTAX_IN) \ + $(SYNTAXFILES) + +all: all-am + +.SUFFIXES: +$(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am $(am__configure_deps) + @for dep in $?; do \ + case '$(am__configure_deps)' in \ + *$$dep*) \ + ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \ + && { if test -f $@; then exit 0; else break; fi; }; \ + exit 1;; \ + esac; \ + done; \ + echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu misc/syntax/Makefile'; \ + $(am__cd) $(top_srcdir) && \ + $(AUTOMAKE) --gnu misc/syntax/Makefile +Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status + @case '$?' in \ + *config.status*) \ + cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \ + *) \ + echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__maybe_remake_depfiles)'; \ + cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__maybe_remake_depfiles);; \ + esac; + +$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES) + cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh + +$(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps) + cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh +$(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps) + cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh +$(am__aclocal_m4_deps): +Syntax: $(top_builddir)/config.status $(srcdir)/Syntax.in + cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ + +mostlyclean-libtool: + -rm -f *.lo + +clean-libtool: + -rm -rf .libs _libs +install-syntaxDATA: $(syntax_DATA) + @$(NORMAL_INSTALL) + @list='$(syntax_DATA)'; test -n "$(syntaxdir)" || list=; \ + if test -n "$$list"; then \ + echo " $(MKDIR_P) '$(DESTDIR)$(syntaxdir)'"; \ + $(MKDIR_P) "$(DESTDIR)$(syntaxdir)" || exit 1; \ + fi; \ + for p in $$list; do \ + if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \ + echo "$$d$$p"; \ + done | $(am__base_list) | \ + while read files; do \ + echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(syntaxdir)'"; \ + $(INSTALL_DATA) $$files "$(DESTDIR)$(syntaxdir)" || exit $$?; \ + done + +uninstall-syntaxDATA: + @$(NORMAL_UNINSTALL) + @list='$(syntax_DATA)'; test -n "$(syntaxdir)" || list=; \ + files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \ + dir='$(DESTDIR)$(syntaxdir)'; $(am__uninstall_files_from_dir) +tags TAGS: + +ctags CTAGS: + +cscope cscopelist: + +distdir: $(BUILT_SOURCES) + $(MAKE) $(AM_MAKEFLAGS) distdir-am + +distdir-am: $(DISTFILES) + @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \ + topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \ + list='$(DISTFILES)'; \ + dist_files=`for file in $$list; do echo $$file; done | \ + sed -e "s|^$$srcdirstrip/||;t" \ + -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \ + case $$dist_files in \ + */*) $(MKDIR_P) `echo "$$dist_files" | \ + sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \ + sort -u` ;; \ + esac; \ + for file in $$dist_files; do \ + if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \ + if test -d $$d/$$file; then \ + dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \ + if test -d "$(distdir)/$$file"; then \ + find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \ + fi; \ + if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \ + cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \ + find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \ + fi; \ + cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \ + else \ + test -f "$(distdir)/$$file" \ + || cp -p $$d/$$file "$(distdir)/$$file" \ + || exit 1; \ + fi; \ + done +check-am: all-am +check: check-am +all-am: Makefile $(DATA) +installdirs: + for dir in "$(DESTDIR)$(syntaxdir)"; do \ + test -z "$$dir" || $(MKDIR_P) "$$dir"; \ + done +install: install-am +install-exec: install-exec-am +install-data: install-data-am +uninstall: uninstall-am + +install-am: all-am + @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am + +installcheck: installcheck-am +install-strip: + if test -z '$(STRIP)'; then \ + $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \ + install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \ + install; \ + else \ + $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \ + install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \ + "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \ + fi +mostlyclean-generic: + +clean-generic: + +distclean-generic: + -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES) + -test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES) + +maintainer-clean-generic: + @echo "This command is intended for maintainers to use" + @echo "it deletes files that may require special tools to rebuild." +clean: clean-am + +clean-am: clean-generic clean-libtool mostlyclean-am + +distclean: distclean-am + -rm -f Makefile +distclean-am: clean-am distclean-generic + +dvi: dvi-am + +dvi-am: + +html: html-am + +html-am: + +info: info-am + +info-am: + +install-data-am: install-syntaxDATA + +install-dvi: install-dvi-am + +install-dvi-am: + +install-exec-am: + +install-html: install-html-am + +install-html-am: + +install-info: install-info-am + +install-info-am: + +install-man: + +install-pdf: install-pdf-am + +install-pdf-am: + +install-ps: install-ps-am + +install-ps-am: + +installcheck-am: + +maintainer-clean: maintainer-clean-am + -rm -f Makefile +maintainer-clean-am: distclean-am maintainer-clean-generic + +mostlyclean: mostlyclean-am + +mostlyclean-am: mostlyclean-generic mostlyclean-libtool + +pdf: pdf-am + +pdf-am: + +ps: ps-am + +ps-am: + +uninstall-am: uninstall-syntaxDATA + +.MAKE: install-am install-strip + +.PHONY: all all-am check check-am clean clean-generic clean-libtool \ + cscopelist-am ctags-am distclean distclean-generic \ + distclean-libtool distdir dvi dvi-am html html-am info info-am \ + install install-am install-data install-data-am install-dvi \ + install-dvi-am install-exec install-exec-am install-html \ + install-html-am install-info install-info-am install-man \ + install-pdf install-pdf-am install-ps install-ps-am \ + install-strip install-syntaxDATA installcheck installcheck-am \ + installdirs maintainer-clean maintainer-clean-generic \ + mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \ + ps ps-am tags-am uninstall uninstall-am uninstall-syntaxDATA + +.PRECIOUS: Makefile + + +# Tell versions [3.59,3.63) of GNU make to not export all variables. +# Otherwise a system limit (for SysV at least) may be exceeded. +.NOEXPORT: diff --git a/misc/syntax/PKGBUILD.syntax b/misc/syntax/PKGBUILD.syntax new file mode 100644 index 0000000..5e92991 --- /dev/null +++ b/misc/syntax/PKGBUILD.syntax @@ -0,0 +1,452 @@ +# Sergej Pupykin + +context default + keyword whole linestart pkgbase brightcyan + keyword whole linestart pkgname brightcyan + keyword whole linestart epoch brightcyan + keyword whole linestart pkgver brightcyan + keyword whole linestart pkgrel brightcyan + keyword whole linestart pkgdesc brightcyan + keyword whole linestart arch brightcyan + keyword whole linestart url brightcyan + keyword whole linestart license brightcyan + keyword whole linestart groups brightcyan + keyword whole linestart depends brightcyan + keyword whole linestart makedepends brightcyan + keyword whole linestart optdepends brightcyan + keyword whole linestart checkdepends brightcyan + keyword whole linestart provides brightcyan + keyword whole linestart conflicts brightcyan + keyword whole linestart replaces brightcyan + keyword whole linestart backup brightcyan + keyword whole linestart options brightcyan + keyword whole linestart install brightcyan + keyword whole linestart source brightcyan + keyword whole linestart noextract brightcyan + keyword whole linestart backup brightcyan + keyword whole linestart changelog brightcyan + keyword whole linestart validpgpkeys brightcyan + keyword whole linestart b2sums brightcyan + keyword whole linestart md5sums brightcyan + keyword whole linestart sha1sums brightcyan + keyword whole linestart sha256sums brightcyan + keyword whole linestart sha384sums brightcyan + keyword whole linestart sha512sums brightcyan + + keyword whole linestart _cvsroot brightcyan + keyword whole linestart _cvsmod brightcyan + keyword whole linestart _svntrunk brightcyan + keyword whole linestart _svnmod brightcyan + keyword whole linestart _gitroot brightcyan + keyword whole linestart _gitname brightcyan + keyword whole linestart _hgroot brightcyan + keyword whole linestart _hgrepo brightcyan + keyword whole linestart _darcstrunk brightcyan + keyword whole linestart _darcsmod brightcyan + keyword whole linestart _bzrtrunk brightcyan + keyword whole linestart _bzrmod brightcyan + + keyword whole $srcdir brightcyan + keyword whole $pkgdir brightcyan + keyword whole $startdir brightcyan + keyword whole ${srcdir} brightcyan + keyword whole ${pkgdir} brightcyan + keyword whole ${startdir} brightcyan + keyword whole $(srcdir) brightcyan + keyword whole $(pkgdir) brightcyan + keyword whole $(startdir) brightcyan + + keyword ;; brightred + keyword \\@ brightred + keyword \\$ brightred + keyword \\\\ brightred + keyword \\" brightred + keyword \\' brightred + keyword \\` brightred + keyword ` brightred + keyword ; brightcyan + keyword $(*) brightgreen + keyword ${*} brightgreen + keyword { brightcyan + keyword } brightcyan + + keyword whole linestart #!\[\s\]*\n brightcyan black + + keyword $\* brightred + keyword $@ brightred + keyword $# brightred + keyword $? brightred + keyword $- brightred + keyword $$ brightred + keyword $! brightred + keyword $_ brightred + + keyword wholeright $\[0123456789\] brightred + + keyword wholeright $+ brightgreen + + keyword $ brightgreen + + + keyword wholeleft linestart function*() brightmagenta + keyword wholeleft linestart function\[\s\]+ brightmagenta + keyword wholeright +() brightmagenta + +wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._ + + keyword whole alias yellow + keyword whole bg yellow + keyword whole break yellow + keyword whole case yellow + keyword whole continue yellow + keyword whole done yellow + keyword whole do yellow + keyword whole elif yellow + keyword whole else yellow + keyword whole esac yellow + keyword whole eval yellow + keyword whole exec yellow + keyword whole exit yellow + keyword whole export yellow + keyword whole fg yellow + keyword whole fi yellow + keyword whole for yellow + keyword whole if yellow + keyword whole in yellow + keyword whole read yellow + keyword whole return yellow + keyword whole select yellow + keyword whole set yellow + keyword whole shift yellow + keyword whole then yellow + keyword whole trap yellow + keyword whole umask yellow + keyword whole unset yellow + keyword whole until yellow + keyword whole wait yellow + keyword whole while yellow + + keyword whole arch cyan + keyword whole ash cyan + keyword whole awk cyan + keyword whole basename cyan + keyword whole bash cyan + keyword whole bg_backup cyan + keyword whole bg_restore cyan + keyword whole bsh cyan + keyword whole cat cyan + keyword whole cd cyan + keyword whole cmake cyan + keyword whole chgrp cyan + keyword whole chmod cyan + keyword whole chown cyan + keyword whole cp cyan + keyword whole cpio cyan + keyword whole csh cyan + keyword whole date cyan + keyword whole dd cyan + keyword whole df cyan + keyword whole dmesg cyan + keyword whole dnsdomainname cyan + keyword whole doexec cyan + keyword whole domainname cyan + keyword whole echo cyan + keyword whole ed cyan + keyword whole egrep cyan + keyword whole ex cyan + keyword whole false cyan + keyword whole fgrep cyan + keyword whole find cyan + keyword whole fsconf cyan + keyword whole gawk cyan + keyword whole grep cyan + keyword whole gunzip cyan + keyword whole gzip cyan + keyword whole hostname cyan + keyword whole igawk cyan + keyword whole install cyan + keyword whole ipcalc cyan + keyword whole kill cyan + keyword whole ksh cyan + keyword whole linuxconf cyan + keyword whole ln cyan + keyword whole login cyan + keyword whole lpdconf cyan + keyword whole ls cyan + keyword whole mail cyan + keyword whole make cyan + keyword whole mkdir cyan + keyword whole mknod cyan + keyword whole mktemp cyan + keyword whole more cyan + keyword whole mount cyan + keyword whole msg cyan + keyword whole mt cyan + keyword whole mv cyan + keyword whole netconf cyan + keyword whole netstat cyan + keyword whole nice cyan + keyword whole nisdomainname cyan + keyword whole patch cyan + keyword whole ping cyan + keyword whole ps cyan + keyword whole pwd cyan + keyword whole red cyan + keyword whole remadmin cyan + keyword whole rm cyan + keyword whole rmdir cyan + keyword whole rpm cyan + keyword whole sed cyan + keyword whole set cyan + keyword whole setserial cyan + keyword whole sh cyan + keyword whole sleep cyan + keyword whole sort cyan + keyword whole stty cyan + keyword whole su cyan + keyword whole sync cyan + keyword whole taper cyan + keyword whole tar cyan + keyword whole tcsh cyan + keyword whole test cyan + keyword whole time cyan + keyword whole touch cyan + keyword whole true cyan + keyword whole umount cyan + keyword whole uname cyan + keyword whole userconf cyan + keyword whole usleep cyan + keyword whole vi cyan + keyword whole view cyan + keyword whole vim cyan + keyword whole xconf cyan + keyword whole ypdomainname cyan + keyword whole zcat cyan + keyword whole zsh cyan + +wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_ + +context # \n brown + spellcheck + +context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]EOF EOF green +context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]END END green + +context ' ' green + +context " " green + keyword whole $srcdir brightcyan + keyword whole $pkgdir brightcyan + keyword whole $startdir brightcyan + keyword whole ${srcdir} brightcyan + keyword whole ${pkgdir} brightcyan + keyword whole ${startdir} brightcyan + keyword whole $(srcdir) brightcyan + keyword whole $(pkgdir) brightcyan + keyword whole $(startdir) brightcyan + + keyword \\* brightgreen + keyword \\@ brightgreen + keyword \\$ brightgreen + keyword \\\\ brightgreen + keyword \\` brightgreen + keyword \\" brightgreen + keyword $(*) brightgreen + keyword ${*} brightgreen + keyword $\* brightred + keyword $@ brightred + keyword $# brightred + keyword $? brightred + keyword $- brightred + keyword $$ brightred + keyword $! brightred + keyword $_ brightred + keyword wholeright $\[0123456789\] brightred + + keyword wholeright $+ brightgreen + + keyword $ brightgreen + +context exclusive ` ` lightgray black + keyword whole $srcdir brightcyan + keyword whole $pkgdir brightcyan + keyword whole $startdir brightcyan + keyword whole ${srcdir} brightcyan + keyword whole ${pkgdir} brightcyan + keyword whole ${startdir} brightcyan + keyword whole $(srcdir) brightcyan + keyword whole $(pkgdir) brightcyan + keyword whole $(startdir) brightcyan + + keyword '*' green + keyword " green + keyword \\` green + keyword ; brightcyan + keyword ${*} brightgreen + keyword $(*) brightgreen + keyword { brightcyan + keyword } brightcyan + + keyword $\* brightred + keyword $@ brightred + keyword $# brightred + keyword $? brightred + keyword $- brightred + keyword $$ brightred + keyword $! brightred + keyword $_ brightred + + keyword wholeright $\[0123456789\] brightred + + keyword wholeright $+ brightgreen + + keyword $ brightgreen + +wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._ + + keyword whole break yellow + keyword whole case yellow + keyword whole continue yellow + keyword whole done yellow + keyword whole do yellow + keyword whole elif yellow + keyword whole else yellow + keyword whole esac yellow + keyword whole exit yellow + keyword whole fi yellow + keyword whole for yellow + keyword whole if yellow + keyword whole in yellow + keyword whole return yellow + keyword whole select yellow + keyword whole shift yellow + keyword whole then yellow + keyword whole trap yellow + keyword whole until yellow + keyword whole while yellow + + keyword whole arch cyan + keyword whole ash cyan + keyword whole awk cyan + keyword whole basename cyan + keyword whole bash cyan + keyword whole bg_backup cyan + keyword whole bg_restore cyan + keyword whole bsh cyan + keyword whole cat cyan + keyword whole cd cyan + keyword whole chgrp cyan + keyword whole chmod cyan + keyword whole chown cyan + keyword whole cmake cyan + keyword whole cp cyan + keyword whole cpio cyan + keyword whole csh cyan + keyword whole date cyan + keyword whole dd cyan + keyword whole df cyan + keyword whole dmesg cyan + keyword whole dnsdomainname cyan + keyword whole doexec cyan + keyword whole domainname cyan + keyword whole echo cyan + keyword whole ed cyan + keyword whole egrep cyan + keyword whole ex cyan + keyword whole false cyan + keyword whole fgrep cyan + keyword whole find cyan + keyword whole fsconf cyan + keyword whole gawk cyan + keyword whole grep cyan + keyword whole gunzip cyan + keyword whole gzip cyan + keyword whole hostname cyan + keyword whole igawk cyan + keyword whole install cyan + keyword whole ipcalc cyan + keyword whole kill cyan + keyword whole ksh cyan + keyword whole linuxconf cyan + keyword whole ln cyan + keyword whole login cyan + keyword whole lpdconf cyan + keyword whole ls cyan + keyword whole mail cyan + keyword whole mkdir cyan + keyword whole mknod cyan + keyword whole mktemp cyan + keyword whole more cyan + keyword whole mount cyan + keyword whole mt cyan + keyword whole mv cyan + keyword whole netconf cyan + keyword whole netstat cyan + keyword whole nice cyan + keyword whole nisdomainname cyan + keyword whole patch cyan + keyword whole ping cyan + keyword whole ps cyan + keyword whole pwd cyan + keyword whole red cyan + keyword whole remadmin cyan + keyword whole rm cyan + keyword whole rmdir cyan + keyword whole rpm cyan + keyword whole sed cyan + keyword whole set cyan + keyword whole setserial cyan + keyword whole sh cyan + keyword whole sleep cyan + keyword whole sort cyan + keyword whole stty cyan + keyword whole su cyan + keyword whole sync cyan + keyword whole taper cyan + keyword whole tar cyan + keyword whole tcsh cyan + keyword whole test cyan + keyword whole time cyan + keyword whole touch cyan + keyword whole true cyan + keyword whole umount cyan + keyword whole uname cyan + keyword whole userconf cyan + keyword whole usleep cyan + keyword whole vi cyan + keyword whole view cyan + keyword whole vim cyan + keyword whole xconf cyan + keyword whole ypdomainname cyan + keyword whole zcat cyan + keyword whole zsh cyan + +context exclusive linestart options ) lightgray + keyword whole strip brightgreen + keyword whole docs brightgreen + keyword whole libtool brightgreen + keyword whole emptydirs brightgreen + keyword whole zipman brightgreen + keyword whole ccache brightgreen + keyword whole distcc brightgreen + keyword whole buildflags brightgreen + keyword whole makeflags brightgreen + keyword whole debug brightgreen + keyword whole upx brightgreen + + keyword whole !strip brightred + keyword whole !docs brightred + keyword whole !libtool brightred + keyword whole !emptydirs brightred + keyword whole !zipman brightred + keyword whole !ccache brightred + keyword whole !distcc brightred + keyword whole !buildflags brightred + keyword whole !makeflags brightred + keyword whole !debug brightred + keyword whole !upx brightred + +context exclusive linestart arch ) lightgray + keyword whole any brightcyan + keyword whole i686 brightcyan + keyword whole x86_64 brightcyan diff --git a/misc/syntax/Syntax.in b/misc/syntax/Syntax.in new file mode 100644 index 0000000..0085013 --- /dev/null +++ b/misc/syntax/Syntax.in @@ -0,0 +1,352 @@ +# This file describes which highlighting scheme is applied to a particular +# file in mcedit. +# +# +# System-wide files (this and syntax definitions) are located in +# @datarootdir@/@PACKAGE@/syntax/ directory. +# +# User's files (this and syntax definitions) are located in +# ~/.local/share/@PACKAGE@/syntax directory. +# +# If user's file is missing, system-wide one is used. +# +# +# This file is rescanned on opening of every new editor file. +# +# Format of this file is following. +# +# Each entry consists of two lines: a "file" specification and the +# corresponding highlighting definition ("include"). A file specification +# can have two or three fields, each separated by white-space characters. +# +# The first field is a regular expression that is matched against the file +# name. The second field is a description of the file type and is +# currently unused. The third (optional) field is a regular expression +# that is matched against the first line of the file. The first entry +# that matches wins, that is, all the entries below are ignored. +# +# Certain characters must be quoted in these fields. These are space (\s), +# tabs (\t), backslashes(\\), plus (\+) and asterisk (\*). Braces {} and +# brackets [] must not be quoted in this file. However, they have a special +# meaning when used in the actual syntax definitions. +# +# You can use the following colors in the syntax highlighting files: +# black, blue, brightblue, brightcyan, brightgreen, brightmagenta, +# brightred, brown, cyan, gray, green, lightgray, magenta, red, white, +# yellow +# + +file [Ss]yntax$ Syntax\sHighlighting\sdefinitions ^#\ssyntax\srules\sversion\s +include syntax.syntax + +file filehighlight\\.ini$ File\sHighlighting\sdefinitions ^#\sfile\shighlight\srules\sversion\s +include filehighlight.syntax + +file ..\*\\.e$ Eiffel\sSource\sFile +include eiffel.syntax + +file ..\*\\.(diff|rej|patch)|COMMIT_EDITMSG$ Diff\sOutput ^(diff|Index:)\s +include diff.syntax + +file ..\*\\.lsm$ LSM\sFile +include lsm.syntax + +file .\*(bash(_completion|rc)|profile|\\.(sh|bash(rc|_(completion|profile|log(in|out)|aliases|exports|history))|profile|zlog(in|out)|zprofile|zsh(env|rc)?))$ Shell\sScript ^#!\s\*/(.\*/|usr/bin/env\s)([a-z]?|ba|pdk)sh +include sh.syntax + +# Since openrc scripts have no extension "by definition", use an empty pattern +# that doesn't match any file name, and therefore only the shebang is used to detect +# syntax highlighting rules (see tickets #4246 and #4252 for details). +file ^$ OpenRC\sRunscript\sFile ^#!\s\*/sbin/openrc-run +include sh.syntax + +file ..\*\\.((?i:ini)|desktop|busname|(auto)?mount|net(dev|work)|link|path|service|slice|socket|swap|target|timer)$ INI-based\sFile +include ini.syntax + +file ..\*\\.((?i:pl|pm|psgi)|t)$ Perl\sProgram ^#!.\*([\s/]perl|@PERL@) +include perl.syntax + +file ..\*\\.(?i:py)$ Python\sProgram ^#!.\*([\s/]python|@PYTHON@) +include python.syntax + +file ..\*\\.(pyx|pxd|pxi)$ Cython\sor\sPyrex\sProgram +include cython.syntax + +file ..\*\\.(?i:rb)$ Ruby\sProgram ^#!.\*([\s/]ruby|@RUBY@) +include ruby.syntax + +file ..\*\\.(man|[0-9n]|[0-9](x|ncurses|ssl|p|pm|menu|form|vga|t|td))$ NROFF\sSource +include nroff.syntax + +file ..\*\\.(?i:html?)$ HTML\sFile +include html.syntax + +file ..\*\\.((?i:xml|xsd|xslt?|dtd)|qpg|qpg\\.in)$ XML\sdocument (\\?xml\sversion|!DOCTYPE\s) +include xml.syntax + +file ..\*\\.cir$ Spice\scircuit +include spice.syntax + +file ..\*\\.(?i:tt)$ Template::Toolkit\sFile +include tt.syntax + +file (.\*[Mm]akefile[\\\.A-Za-z0-9]\*|..\*\\.mk|..\*\\.mak|Kbuild)$ Makefile +include makefile.syntax + +file (CMakeLists.txt|.\*.cmake)$ CMake +include cmake.syntax + +file meson\\.build$ Meson\sBuild\sFile +include meson.syntax + +file ..\*\\.(?i:pas|dpr|inc)$ Pascal\sProgram +include pascal.syntax + +file ..\*\\.(?i:ad[abs])$ Ada\sProgram +include ada95.syntax + +file ..\*\\.(?i:i?tcl)$ Tcl\sProgram ^#!.\*([\s/]tclsh|[\s/]wish|/usr/bin/tclsh|/usr/bin/wish) +include tcl.syntax + +file ..\*\\.(?i:sl)$ S-Lang\sProgram +include slang.syntax + +file ..\*\\.tex$ LaTeX\s2.09\sDocument +include latex.syntax + +file ..\*\\.(?i:texi|texinfo)$ Texinfo\sDocument +include texinfo.syntax + +file ..\*\\.(dot|gv)$ DOT/Graphviz\sgraph +include dot.syntax + +file ..\*\\.c$ C\sProgram +include c.syntax + +file ..\*\\.([hC]|(?i:cxx|cc|cpp|c\\\+\\\+|hxx|hh|hpp|h\\\+\\\+|ino)|[Hh]\\.in)$ C/C\+\+\sProgram +include cxx.syntax + +file ..\*\\.d$ D\sProgram +include d.syntax + +file ..\*\\.(?i:f)$ Fortran\sProgram +include fortran.syntax + +file ..\*\\.go$ GoLang\sProgram +include go.syntax + +file ..\*\\.rs$ Rust\sProgram +include rust.syntax + +file ..\*\\.(?i:f)9[05]$ Freeform\sFortran\sProgram +include f90.syntax + +file ..\*\\.i$ SWIG\sSource +include swig.syntax + +file ..\*\\.(?i:php)[0-9]?$ PHP\sProgram +include php.syntax + +file ..\*\\.ij[xs]$ J\sFile +include j.syntax + +file ..\*\\.(?i:java?|groovy|Jenkinsfile)$ Java\sProgram +include java.syntax + +file ..\*\\.(properties|config)$ Java\sFile +include properties.syntax + +file ..\*\\.(?i:cs)$ C\#\sProgram +include cs.syntax + +file ..\*\\.(?i:m?js)$ JavaScript\sProgram ^#!.\*[\s/](node|nodejs)\\b +include js.syntax + +file ..\*\\.(?i:json)$ JSON\sFile +include json.syntax + +file ..\*\\.(?i:ts)$ TypeScript\sProgram +include ts.syntax + +file ..\*\\.(?i:as)$ ActionScript\sProgram +include as.syntax + +file ..\*\\.(as[achmp]x)$ ASPX\sFile +include aspx.syntax + +file ..\*\\.st$ SmallTalk\sProgram +include smalltalk.syntax + +file ..\*\\.(lisp|lsp|el)$ Lisp\sProgram +include lisp.syntax + +file ..\*\\.(ml[ilpy]?)$ ML\sProgram +include ml.syntax + +file ..\*\\.m$ Matlab\sor\sOctave\sFile +include octave.syntax + +file ..\*\\.(?i:sql)$ SQL\sProgram +include sql.syntax + +file ..\*\\.(?i:hql)$ HiveQL\sProgram +include hive.syntax + +file ..\*\\.(spec|spec\\.in)$ RPM\sSpecfile +include spec.syntax + +file ..\*\\.repo$ YUM\sRepo\sFile +include yum-repo.syntax + +file ..\*\\.awk$ AWK\sFile +include awk.syntax + +file ..\*\\.(?i:[cq]ss)$ CSS\sFile +include css.syntax + +file .\*ChangeLog[\\\.A-Za-z0-9_]\*$ GNU\sChangeLog\sFile +include changelog.syntax + +file (..\*\\.m4$|configure\\.in|configure\\.ac) M4\sMacroprocessor\sSource +include m4.syntax + +file ..\*\\.(bat|cmd)$ DOS\sBatch +include dos.syntax + +file ..\*\\.po[tx]?$ PO\sFile +include po.syntax + +file ..\*\\.(?i:asm|s)$ ASM\sProgram +include assembler.syntax + +file ..\*\\.(?i:pov)$ POV\sScript +include povray.syntax + +file .\*\\.(ebuild|eclass)$ Gentoo\sEbuild +include ebuild.syntax + +file ..\*\\.(?i:lua)$ Lua\sProgram ^#!.\*[\s/]lua +include lua.syntax + +file ..\*\\.(?i:idl)$ CORBA\sIDL +include idl.syntax + +file Don_t_match_me Mail\sfolder ^(From|Return-(P|p)ath:|From:|Date:)\s +include mail.syntax + +file \\.procmailrc$ Procmail\sRC\sFile ^#/usr/bin/procmail +include procmail.syntax + +file sources.list$ sources\slist +include debian-sources-list.syntax + +file control$ Debian\scontrol\sfile +include debian-control.syntax + +file (rules|rocks)$ Debian\srules +include makefile.syntax + +file .\*changelog$ Debian\schangelog\sfile +include debian-changelog.syntax + +file changelog.Debian$ Debian\schangelog\sfile +include debian-changelog.syntax + +file ..\*\\.dsc$ Debian\sdescriptiom\sfile +include debian-description.syntax + +file ..\*\\.(?i:hsc?)$ Haskell\sprogram +include haskell.syntax + +file ..\*\\.(?i:cabal)$ Cabal\sconfig\sfile +include cabal.syntax + +file ..\*\\.(?i:n)$ Nemerle\sProgram +include nemerle.syntax + +file ..\*\\.(?i:(v|sv|vh|svh))$ Verilog/SystemVerilog\sDevice\sDescription +include verilog.syntax + +file ..\*\\.(?i:hdl|vhdl?)$ VHDL\sDevice\sDescription +include vhdl.syntax + +file ..\*\\.erl$ Erlang\sProgram ^(-module\\(|#!.*escript) +include erlang.syntax + +file ..\*\\.hrl$ Erlang\sHeader ^-record\\( +include erlang.syntax + +file .\*named.conf$ Bind9\sconfiguration +include named.syntax + +file ..\*\\.strace$ Strace\sdebug\soutput +include strace.syntax + +file PKGBUILD$ Arch\spackage\sbuild\sscript +include PKGBUILD.syntax + +file \\.install$ Arch\spackage\sinstall\sscript +include sh.syntax + +file ..\*\\.(l|y|yxx|ypp)$ Lex/Flex/Yacc/Bison\ssource +include yxx.syntax + +file ..\*\\.dlink\\.sw$ D-Link\sSwitch\sCommands +include dlink.syntax + +file ..\*\\.(?i:jalt?)$ Jal\ssource +include jal.syntax + +file ..\*\\.(?i:lkr)$ PIC\slinker\sscript\sfile +include lkr.syntax + +file ..\*\\.pp$ Puppet\smanifest\sfile +include puppet.syntax + +file ..\*\\.(glsl|vert|frag|geom)$ GLSL\sProgram +include glsl.syntax + +file ..\*\\.cuh?$ CUDA\sProgram +include cuda.syntax + +file ..\*\\.cl$ OpenCL\sProgram +include opencl.syntax + +file ..\*\\.(?i:ya?ml)$ YAML\sFile +include yaml.syntax + +file .\*\\.osl$ OSL\sProgram +include osl.syntax + +file .\*\\.([rR]|Rd|Rscript)$ R\sProgram +include r.syntax + +file ..\*\\.(?i:md)$ Markdown +include markdown.syntax + +file ..\*\\.proto$ Protobuf\sFile +include protobuf.syntax + +file ..\*\\.(?i:yab)$ Yabasic\s(Yet\sAnother\sBASIC) +include yabasic.syntax + +file ..\*\\.(?i:cbl|cob)$ Cobol\sProgram +include cobol.syntax + +file ..\*\\.kt$ Kotliin\sSource +include kotlin.syntax + +file ..\*\\.swift$ Swift\sProgram +include swift.syntax + +file ..\*\\.action$ Privoxy\sFile +include privoxy.syntax + +file .\*\\.toml$ TOML\sFile +include toml.syntax + +file .\*\\.(mch|ref|imp)$ B\sFile +include b.syntax + +file .\* unknown +include unknown.syntax diff --git a/misc/syntax/ada95.syntax b/misc/syntax/ada95.syntax new file mode 100644 index 0000000..e9bed27 --- /dev/null +++ b/misc/syntax/ada95.syntax @@ -0,0 +1,124 @@ +# Scott Billings + +caseinsensitive + +context default + keyword whole abort yellow + keyword whole abs yellow + keyword whole and yellow + keyword whole delay yellow + keyword whole delta yellow + keyword whole digits yellow + keyword whole do yellow + keyword whole in yellow + keyword whole is yellow + keyword whole mod yellow + keyword whole not yellow + keyword whole null yellow + keyword whole of yellow + keyword whole or yellow + keyword whole others yellow + keyword whole out yellow + keyword whole pragma yellow + keyword whole raise yellow + keyword whole range yellow + keyword whole renames yellow + keyword whole requeue yellow + keyword whole return yellow + keyword whole reverse yellow + keyword whole separate yellow + keyword whole task yellow + keyword whole terminate yellow + keyword whole use yellow + keyword whole with yellow + keyword whole xor yellow + +# prevents - keyword from interfering with comment + keyword -- brown + + keyword # brightgreen + keyword ' brightgreen + keyword ( brightgreen + keyword ) brightgreen + keyword \*\* brightgreen + keyword \* brightgreen + keyword \+ brightgreen + keyword , brightgreen + keyword - brightgreen + keyword . brightgreen + keyword .. brightgreen + keyword /= brightgreen + keyword / brightgreen + keyword < brightgreen + keyword <= brightgreen + keyword >= brightgreen + keyword > brightgreen + keyword := brightgreen + keyword : brightgreen + keyword ; brightgreen + keyword => brightgreen + keyword <> brightgreen + keyword \\& brightgreen + keyword = brightgreen + + keyword whole begin brightred + keyword whole case brightred + keyword whole declare brightred + keyword whole else brightred + keyword whole elsif brightred + keyword whole end brightred + keyword whole entry brightred + keyword whole exception brightred + keyword whole exit brightred + keyword whole for brightred + keyword whole if brightred + keyword whole loop brightred + keyword whole private brightred + keyword whole protected brightred + keyword whole select brightred + keyword whole then brightred + keyword whole until brightred + keyword whole when brightred + keyword whole while brightred + + keyword whole array cyan + keyword whole boolean cyan + keyword whole character cyan + keyword whole duration cyan + keyword whole false cyan + keyword whole fixed cyan + keyword whole float cyan + keyword whole integer cyan + keyword whole natural cyan + keyword whole positive cyan + keyword whole record cyan + keyword whole string cyan + keyword whole true cyan + keyword whole universal_integer cyan + keyword whole universal_float cyan + keyword whole wide_character cyan + + keyword whole abstract brightcyan + keyword whole accept brightcyan + keyword whole access brightcyan + keyword whole aliased brightcyan + keyword whole all brightcyan + keyword whole at brightcyan + keyword whole constant brightcyan + keyword whole goto brightcyan + keyword whole limited brightcyan + keyword whole new_line brightcyan + keyword whole subtype brightcyan + keyword whole tagged brightcyan + keyword whole type brightcyan + + keyword whole body magenta + keyword whole function magenta + keyword whole generic magenta + keyword whole new magenta + keyword whole package magenta + keyword whole procedure magenta + +context exclusive -- \n brown + +context " " green diff --git a/misc/syntax/as.syntax b/misc/syntax/as.syntax new file mode 100644 index 0000000..ac751d3 --- /dev/null +++ b/misc/syntax/as.syntax @@ -0,0 +1,200 @@ +# +# ActionScript syntax highlighting +# for MC Editor/CoolEdit +# +# Author: Oleg Kosenkov +# Based on js.syntax +# +# 2011-12-27 Oleg Kosenkov +# * Initial public release. +# + +context default + + #========================= + # Keywords + keyword whole arguments yellow + keyword whole break yellow + keyword whole caller yellow + keyword whole case yellow + keyword whole catch yellow + keyword whole constructor yellow + keyword whole continue yellow + keyword whole else yellow + keyword whole default yellow + keyword whole delete yellow + keyword whole for yellow + keyword whole function yellow + keyword whole private yellow + keyword whole public yellow + keyword whole internal yellow + keyword whole protected yellow + keyword whole native yellow + keyword whole static yellow + keyword whole if yellow + keyword whole in yellow + keyword whole instanceof yellow + keyword whole new yellow + keyword whole prototype yellow + keyword whole override yellow + keyword whole return yellow + keyword whole switch yellow + keyword whole this yellow + keyword whole throw yellow + keyword whole try yellow + keyword whole typeof yellow + keyword whole var yellow + keyword whole while yellow + keyword whole with yellow + keyword whole import yellow + keyword whole package yellow + keyword whole class yellow + keyword whole final yellow + keyword whole const yellow + keyword whole set yellow + keyword whole get yellow + keyword whole dynamic yellow + keyword whole label yellow + keyword whole super yellow + keyword whole extends yellow + keyword whole include yellow + keyword whole namespace yellow + keyword whole interface yellow + keyword whole this yellow + + + #========================= + # Objects + keyword whole ActiveXObject yellow + keyword whole Array yellow + keyword whole Boolean yellow + keyword whole Date yellow + keyword whole Dictionary yellow + keyword whole Enumerator yellow + keyword whole FileSystemObject yellow + keyword whole Function yellow + keyword whole Global yellow + keyword whole Image yellow + keyword whole Math yellow + keyword whole Number yellow + keyword whole Object yellow + keyword whole TextStream yellow + keyword whole RegExp yellow + keyword whole VBArray yellow + keyword whole String yellow + keyword whole void yellow + keyword whole int yellow + + #========================= + # Most common functions + keyword whole alert yellow + keyword whole escape yellow + keyword whole eval yellow + keyword whole indexOf yellow + keyword whole isNaN yellow + keyword whole toString yellow + keyword whole unescape yellow + keyword whole valueOf yellow + + #========================= + # Constants + keyword whole false brightgreen + keyword whole null brightgreen + keyword whole true brightgreen + keyword whole undefined yellow + + #========================= + # Comments + keyword /\* brown + keyword \*/ brown + keyword // brown + + #========================= + # Numbers + wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_. + + keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen + keyword whole \{0123456789\}\[0123456789\] brightgreen + keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen + + #========================= + # Special symbols + keyword \. yellow + keyword \* yellow + keyword \+ yellow + keyword - yellow + keyword / yellow + keyword % yellow + keyword = yellow + keyword ! yellow + keyword & yellow + keyword | yellow + keyword ^ yellow + keyword ~ yellow + keyword > yellow + keyword < yellow + + #========================= + # Separators + keyword { brightcyan + keyword } brightcyan + keyword ( brightcyan + keyword ) brightcyan + keyword [ brightcyan + keyword ] brightcyan + keyword , brightcyan + keyword ? brightcyan + keyword : brightcyan + keyword ; brightmagenta + + +#============================= +# Comments + +context exclusive /\* \*/ brown + spellcheck + keyword whole BUG brightred + keyword whole FixMe brightred + keyword whole FIXME brightred + keyword whole Note brightred + keyword whole NOTE brightred + keyword whole ToDo brightred + keyword whole TODO brightred + keyword !!\[!\] brightred + keyword ??\[?\] brightred + + +context exclusive // \n brown + spellcheck + keyword whole BUG brightred + keyword whole FixMe brightred + keyword whole FIXME brightred + keyword whole Note brightred + keyword whole NOTE brightred + keyword whole ToDo brightred + keyword whole TODO brightred + keyword !!\[!\] brightred + keyword ??\[?\] brightred + + +#============================= +# Strings + +context " " green + spellcheck + keyword \\\{\\'"abtnvfr\} brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + + keyword ' brightgreen + +context ' ' green + spellcheck + keyword \\\{\\'"abtnvfr\} brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + + keyword " brightgreen diff --git a/misc/syntax/aspx.syntax b/misc/syntax/aspx.syntax new file mode 100644 index 0000000..f0af865 --- /dev/null +++ b/misc/syntax/aspx.syntax @@ -0,0 +1,49 @@ +# ASP.NET syntax highlighting +# +# Author: Juan C. Olivares +# http://www.juancri.com + +context default + keyword "*" lightgray + keyword '*' lightgray + + spellcheck + +context brightgreen + spellcheck + +context yellow + keyword '+' brightcyan + keyword "+" brightcyan + keyword \( lightgray + keyword \) lightgray + keyword \* lightgray + keyword \? lightgray + keyword \+ lightgray + keyword \| lightgray + keyword \, lightgray + spellcheck + +context <% %> brightgreen + keyword Application white + keyword Assembly white + keyword Control white + keyword Implements white + keyword Import white + keyword Inherits white + keyword OutputCache white + keyword Page white + keyword Reference white + keyword Register white + keyword WebService white + keyword \s+\= yellow + keyword '*' brightcyan + keyword "*" brightcyan + spellcheck + +context < > white + keyword \s+\= yellow + keyword "server" brightgreen + keyword '*' brightcyan + keyword "*" brightcyan + spellcheck diff --git a/misc/syntax/assembler.syntax b/misc/syntax/assembler.syntax new file mode 100644 index 0000000..0187c72 --- /dev/null +++ b/misc/syntax/assembler.syntax @@ -0,0 +1,402 @@ +# +# NASM,WASM,TASM,MASM and GAS x86 syntax highlight +# // Mike Gorchak +# // Andres Farfan 2012 +# + +caseinsensitive + +context default lightgray + +# NASM directives + keyword whole BITS white + keyword whole SECTION white + keyword whole SEGMENT white + keyword whole ABSOLUTE white + keyword whole EXTERN white + keyword whole GLOBAL white + keyword whole COMMON white + keyword whole CPU white + +# NASM, WASM, TASM, MASM and common modifiers + keyword whole ALIGN white + keyword whole ALIGNB white + keyword whole STRUC white + keyword whole ENDSTRUC white + keyword whole ISTRUC white + keyword whole IEND white + keyword whole AT white + keyword whole __FILE__ white + keyword whole __LINE__ white + keyword whole __NASM_VER__ white + keyword whole __NASM_VERSION_ID__ white + keyword whole __NASM_MAJOR__ white + keyword whole __NASM_MINOR__ white + keyword whole __NASM_SUBMINOR__ white + keyword whole __NASM_PATCHLEVEL__ white + keyword whole __SECT__ white + keyword whole STRICT white + keyword whole SEG white + keyword whole WRT white + keyword whole NOSPLIT white + keyword whole BYTE white + keyword whole WORD white + keyword whole DWORD white + keyword whole QWORD white + keyword whole TWORD white + +# Pseudo instructions + keyword whole ORG white + keyword whole EQU white + keyword whole DB white + keyword whole DW white + keyword whole DD white + keyword whole DQ white + keyword whole DT white + keyword whole RESB white + keyword whole RESW white + keyword whole RESD white + keyword whole RESQ white + keyword whole REST white + keyword whole INCBIN white + keyword whole TIMES white + +# GAS specific + keyword whole .string white + keyword whole .section white + keyword whole .align white + keyword whole .type white + keyword whole .size white + keyword whole .comm white + keyword whole .ident white + keyword whole .globl white + keyword whole .rodata brightblue + keyword whole .data brightblue + keyword whole .text brightblue + keyword whole .bss brightblue + +# NASM/TASM Macroses + keyword whole %ifdef brightred + keyword whole %define brightred + keyword whole %else brightred + keyword whole %elif brightred + keyword whole %endif brightred + keyword whole %macro brightred + keyword whole %endmacro brightred + keyword whole %rep brightred + keyword whole %repl brightred + keyword whole %strlen brightred + keyword whole %substr brightred + keyword whole %arg brightred + keyword whole %stacksize brightred + keyword whole %local brightred + keyword whole %push brightred + keyword whole %pop brightred + keyword whole %line brightred + keyword whole %\! brightred + keyword whole %\+ brightred + +# Generic 16-bit registers set + keyword whole %al brightmagenta + keyword whole %bl brightmagenta + keyword whole %cl brightmagenta + keyword whole %dl brightmagenta + keyword whole %ah brightmagenta + keyword whole %bh brightmagenta + keyword whole %ch brightmagenta + keyword whole %dh brightmagenta + keyword whole %ax brightmagenta + keyword whole %bx brightmagenta + keyword whole %cx brightmagenta + keyword whole %dx brightmagenta + keyword whole %si brightmagenta + keyword whole %di brightmagenta + keyword whole %bp brightmagenta + keyword whole %sp brightmagenta + keyword whole %es brightmagenta + keyword whole %cs brightmagenta + keyword whole %ds brightmagenta + + keyword whole AL brightmagenta + keyword whole BL brightmagenta + keyword whole CL brightmagenta + keyword whole DL brightmagenta + keyword whole AH brightmagenta + keyword whole BH brightmagenta + keyword whole CH brightmagenta + keyword whole DH brightmagenta + keyword whole AX brightmagenta + keyword whole BX brightmagenta + keyword whole CX brightmagenta + keyword whole DX brightmagenta + keyword whole SI brightmagenta + keyword whole DI brightmagenta + keyword whole BP brightmagenta + keyword whole SP brightmagenta + keyword whole ES brightmagenta + keyword whole CS brightmagenta + keyword whole DS brightmagenta + +# Generic 32-bit registers set + keyword whole %eax brightmagenta + keyword whole %ebx brightmagenta + keyword whole %ecx brightmagenta + keyword whole %edx brightmagenta + keyword whole %esi brightmagenta + keyword whole %edi brightmagenta + keyword whole %ebp brightmagenta + keyword whole %esp brightmagenta + keyword whole %fs brightmagenta + keyword whole %gs brightmagenta + + keyword whole EAX brightmagenta + keyword whole EBX brightmagenta + keyword whole ECX brightmagenta + keyword whole EDX brightmagenta + keyword whole ESI brightmagenta + keyword whole EDI brightmagenta + keyword whole EBP brightmagenta + keyword whole ESP brightmagenta + keyword whole FS brightmagenta + keyword whole GS brightmagenta + + keyword whole CR0 magenta + keyword whole CR1 magenta + keyword whole CR2 magenta + keyword whole CR3 magenta + keyword whole DR0 magenta + keyword whole DR1 magenta + keyword whole DR3 magenta + keyword whole DR4 magenta + keyword whole DR5 magenta + keyword whole DR6 magenta + keyword whole DR7 magenta + keyword whole TR0 magenta + keyword whole TR1 magenta + keyword whole TR2 magenta + keyword whole TR3 magenta + keyword whole TR4 magenta + keyword whole TR5 magenta + keyword whole TR6 magenta + keyword whole TR7 magenta + keyword whole TR8 magenta + keyword whole TR9 magenta + keyword whole TR10 magenta + keyword whole TR11 magenta + +# Generic AMD64 registers set + keyword whole %rax brightmagenta + keyword whole %rbx brightmagenta + keyword whole %rcx brightmagenta + keyword whole %rdx brightmagenta + keyword whole %rsi brightmagenta + keyword whole %rdi brightmagenta + keyword whole %rbp brightmagenta + keyword whole %rsp brightmagenta + keyword whole %r8 brightmagenta + keyword whole %r9 brightmagenta + keyword whole %r10 brightmagenta + keyword whole %r11 brightmagenta + keyword whole %r12 brightmagenta + keyword whole %r13 brightmagenta + keyword whole %r14 brightmagenta + keyword whole %r15 brightmagenta + + keyword whole RAX brightmagenta + keyword whole RBX brightmagenta + keyword whole RCX brightmagenta + keyword whole RDX brightmagenta + keyword whole RSI brightmagenta + keyword whole RDI brightmagenta + keyword whole RBP brightmagenta + keyword whole RSP brightmagenta + keyword whole R8 brightmagenta + keyword whole R9 brightmagenta + keyword whole R10 brightmagenta + keyword whole R11 brightmagenta + keyword whole R12 brightmagenta + keyword whole R13 brightmagenta + keyword whole R14 brightmagenta + keyword whole R15 brightmagenta + +#AMD64 32-bit registers. 64-bit mode + keyword whole %r8d brightmagenta + keyword whole %r9d brightmagenta + keyword whole %r10d brightmagenta + keyword whole %r11d brightmagenta + keyword whole %r12d brightmagenta + keyword whole %r13d brightmagenta + keyword whole %r14d brightmagenta + keyword whole %r15d brightmagenta + + keyword whole R8D brightmagenta + keyword whole R9D brightmagenta + keyword whole R10D brightmagenta + keyword whole R11D brightmagenta + keyword whole R12D brightmagenta + keyword whole R13D brightmagenta + keyword whole R14D brightmagenta + keyword whole R15D brightmagenta + +#AMD64 16-bit registers. 64-bit mode + keyword whole %r8w brightmagenta + keyword whole %r9w brightmagenta + keyword whole %r10w brightmagenta + keyword whole %r11w brightmagenta + keyword whole %r12w brightmagenta + keyword whole %r13w brightmagenta + keyword whole %r14w brightmagenta + keyword whole %r15w brightmagenta + + keyword whole R8W brightmagenta + keyword whole R9W brightmagenta + keyword whole R10W brightmagenta + keyword whole R11W brightmagenta + keyword whole R12W brightmagenta + keyword whole R13W brightmagenta + keyword whole R14W brightmagenta + keyword whole R15W brightmagenta + +#AMD64 8-bit registers. 64-bit mode + keyword whole %sil brightmagenta + keyword whole %dil brightmagenta + keyword whole %bpl brightmagenta + keyword whole %spl brightmagenta + keyword whole %r8b brightmagenta + keyword whole %r9b brightmagenta + keyword whole %r10b brightmagenta + keyword whole %r11b brightmagenta + keyword whole %r12b brightmagenta + keyword whole %r13b brightmagenta + keyword whole %r14b brightmagenta + keyword whole %r15b brightmagenta + + keyword whole SIL brightmagenta + keyword whole DIL brightmagenta + keyword whole BPL brightmagenta + keyword whole SPL brightmagenta + keyword whole R8B brightmagenta + keyword whole R9B brightmagenta + keyword whole R10B brightmagenta + keyword whole R11B brightmagenta + keyword whole R12B brightmagenta + keyword whole R13B brightmagenta + keyword whole R14B brightmagenta + keyword whole R15B brightmagenta + +#AMD64 System registers. 64-bit mode + keyword whole CR8 magenta + +#AMD64 SSE Registers. 64-bit mode + keyword whole %xmm8 brightcyan + keyword whole %xmm9 brightcyan + keyword whole %xmm10 brightcyan + keyword whole %xmm11 brightcyan + keyword whole %xmm12 brightcyan + keyword whole %xmm13 brightcyan + keyword whole %xmm14 brightcyan + keyword whole %xmm15 brightcyan + + keyword whole xmm8 brightcyan + keyword whole xmm9 brightcyan + keyword whole xmm10 brightcyan + keyword whole xmm11 brightcyan + keyword whole xmm12 brightcyan + keyword whole xmm13 brightcyan + keyword whole xmm14 brightcyan + keyword whole xmm15 brightcyan + +# FPU registers set + keyword whole st0 brightcyan + keyword whole st1 brightcyan + keyword whole st2 brightcyan + keyword whole st3 brightcyan + keyword whole st4 brightcyan + keyword whole st5 brightcyan + keyword whole st6 brightcyan + keyword whole st7 brightcyan + keyword whole %st0 brightcyan + keyword whole %st1 brightcyan + keyword whole %st2 brightcyan + keyword whole %st3 brightcyan + keyword whole %st4 brightcyan + keyword whole %st5 brightcyan + keyword whole %st6 brightcyan + keyword whole %st7 brightcyan + +# MMX/3DNOW registers set + keyword whole mm0 brightcyan + keyword whole mm1 brightcyan + keyword whole mm2 brightcyan + keyword whole mm3 brightcyan + keyword whole mm4 brightcyan + keyword whole mm5 brightcyan + keyword whole mm6 brightcyan + keyword whole mm7 brightcyan + keyword whole %mm0 brightcyan + keyword whole %mm1 brightcyan + keyword whole %mm2 brightcyan + keyword whole %mm3 brightcyan + keyword whole %mm4 brightcyan + keyword whole %mm5 brightcyan + keyword whole %mm6 brightcyan + keyword whole %mm7 brightcyan + +# SSE registers set + keyword whole xmm0 brightcyan + keyword whole xmm1 brightcyan + keyword whole xmm2 brightcyan + keyword whole xmm3 brightcyan + keyword whole xmm4 brightcyan + keyword whole xmm5 brightcyan + keyword whole xmm6 brightcyan + keyword whole xmm7 brightcyan + keyword whole %xmm0 brightcyan + keyword whole %xmm1 brightcyan + keyword whole %xmm2 brightcyan + keyword whole %xmm3 brightcyan + keyword whole %xmm4 brightcyan + keyword whole %xmm5 brightcyan + keyword whole %xmm6 brightcyan + keyword whole %xmm7 brightcyan + +# Common symbols + keyword ; brown + keyword ! brown + keyword /\* brown + keyword \*/ brown + keyword [ white + keyword ] white + keyword , white + keyword \+ white + keyword - white + keyword . white + keyword ( white + keyword ) white + keyword : white + keyword @ white + keyword >> white + keyword << white + keyword % white + keyword \\ white + keyword / white + keyword // white + keyword ~ white + keyword ^ white + keyword & white + keyword \* white + keyword | white + keyword $ brightgreen + keyword $$ brightgreen + keyword %% cyan + +context " " green +context ' ' brightgreen +context exclusive ; \n brown + spellcheck + +context exclusive /\* \*/ brown + spellcheck + +context exclusive ! \n brown + spellcheck diff --git a/misc/syntax/awk.syntax b/misc/syntax/awk.syntax new file mode 100644 index 0000000..551a67a --- /dev/null +++ b/misc/syntax/awk.syntax @@ -0,0 +1,172 @@ +# AWK syntax by +# Olegarch +# Andres Farfan, +# Ilia Maslakov +# +# (c) 2003, 2004, 2009 +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . + +context default + + keyword whole linestart #!\[\s\]/bin/\[msg\]awk yellow magenta + keyword whole linestart #!\[\s\]/usr/bin/\[msg\]awk yellow magenta +# Keywords + keyword whole AMNOISE white + keyword whole BEGIN white + keyword whole END white + keyword whole func white + keyword whole function white + keyword whole nextfile white + + keyword whole return white/26 + keyword whole print white/26 + keyword whole printf white/26 + keyword whole if white/26 + keyword whole else white/26 + keyword whole while white/26 + keyword whole for white/26 + keyword whole in white/26 + keyword whole do white/26 + keyword whole break white/26 + keyword whole continue white/26 + keyword whole next white/26 + keyword whole exit white/26 + keyword whole close white/26 + keyword whole system white/26 + keyword whole getline white/26 +# Builtin functions: + keyword whole atan2 white black + keyword whole cos white black + keyword whole exp white black + keyword whole int white black + keyword whole log white black + keyword whole rand white black + keyword whole sin white black + keyword whole sqrt white black + keyword whole srand white black + keyword whole gsub white black + keyword whole index white black + keyword whole length white black + keyword whole match white black + keyword whole split white black + keyword whole sprintf white black + keyword whole sub white black + keyword whole substr white black + keyword whole asort white black + keyword whole asorti white black + + keyword whole xor white + keyword whole and white + keyword whole or white + +# Gawk builtin functions: + keyword whole fflush white black + keyword whole gensub white black + keyword whole tolower white black + keyword whole toupper white black + keyword whole systime white black + keyword whole strftime white black + keyword whole strtonum white + keyword whole bindtextdomain white + keyword whole dcgettext white + keyword whole dcngettext white + keyword whole compl white + keyword whole delete white + keyword whole extension white + keyword whole mktime white + keyword whole lshift white + keyword whole rshift white + +# Builtin variables: + keyword whole ARGIND brightblue + keyword whole BINMODE brightblue + keyword whole CONVFMT brightblue + keyword whole ENVIRON brightblue + keyword whole LINT brightblue + keyword whole TEXTDOMAIN brightblue + keyword whole ARGC brightblue + keyword whole ARGV brightblue + keyword whole FILENAME brightblue + keyword whole FNR brightblue + keyword whole FS brightblue + keyword whole NF brightblue + keyword whole NR brightblue + keyword whole OFMT brightblue + keyword whole OFS brightblue + keyword whole ORS brightblue + keyword whole RLENGTH brightblue + keyword whole RS brightblue + keyword whole RSTART brightblue + keyword whole SUBSEP brightblue +# Gawk builtin variables: + keyword whole ARGIND brightblue + keyword whole ERRNO brightblue + keyword whole RT brightblue + keyword whole IGNORECASE brightblue + keyword whole FIELDWIDTHS brightblue + + keyword '\s' brightgreen/16 + keyword '+' brightgreen/16 + keyword > yellow/24 + keyword < yellow/24 + keyword \+ yellow/24 + keyword - yellow/24 + keyword \* yellow/24 + keyword % yellow/24 + keyword = yellow/24 + keyword != yellow/24 + keyword == yellow/24 + keyword { white/25 + keyword } white/25 + keyword ( white/25 + keyword ) white/25 + keyword [*] magenta + keyword , white/25 + keyword : white/25 + keyword ; lightgray/19 + keyword $\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789\] brightred/18 +# Begin/End: + keyword whole BEGIN red + keyword whole END red +# Function keyword: + keyword whole function brightmagenta +# Hex constant: + keyword 0\{xX\}\[abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789\] magenta/6 +# Tab: \t +# keyword linestart \t lightgray/13 blue/6 + +# Sed: + keyword linestart /^ red + keyword linestart /^# red +context /^#*/ red + +# Comments: +context linestart # \n brown +context linestart $ \n brown + +# String: +context " " green/6 + keyword \\" brightgreen/16 + keyword \\\n brightgreen/16 + keyword %% brightgreen/16 + keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen/16 + keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen/16 + keyword %\[hl\]n brightgreen/16 + keyword %\[.\]\[0123456789\]s brightgreen/16 + keyword %[*] brightgreen/16 + keyword %c brightgreen/16 + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen/16 + keyword \\\\ brightgreen/16 + keyword \\' brightgreen/16 + keyword \\\{abtnvfr\} brightgreen/16 diff --git a/misc/syntax/b.syntax b/misc/syntax/b.syntax new file mode 100644 index 0000000..7cd91a0 --- /dev/null +++ b/misc/syntax/b.syntax @@ -0,0 +1,382 @@ +# B language keywords +# by Gyorgy Rotter +# +# For the proper syntax highlight the order of the keywords are +# important. This is why the related keywords are not listed in a block. +# To create semantic relationship between the keywords I used groups. +# Some keywords are in a group (the name of the group is +# indicated in a commented line above the keyword definition) +# +# With the one-liner for example we could replace +# the color of the keywords which are the members of the +# "Sequences" group from "yellow" to "red"... +# +# echo Sequences yellow red | xargs -l bash -c 'sed -z "s/\(# $0\n[^\n]*\)$1/\1$2/g" b.syntax' +# ^ ^ ^ +# | | | +# | | -- new_color +# | -- actual_color +# -- groupname +# +# +# Usable groups: +# Relations, Sequences, Logical, Arithmetic, Sets, Substitutions +# +# Usable colors: +# described in the Syntax file +# + +context default + keyword whole ABSTRACT_CONSTANTS yellow + keyword whole ABSTRACT_VARIABLES yellow + keyword whole CONCRETE_CONSTANTS yellow + keyword whole CONCRETE_VARIABLES yellow + keyword whole LOCAL_OPERATIONS yellow + keyword whole IMPLEMENTATION yellow + keyword whole INITIALISATION yellow + keyword whole CONSTRAINTS yellow + keyword whole DEFINITIONS yellow + keyword whole ASSERTIONS yellow + keyword whole OPERATIONS yellow + keyword whole PROPERTIES yellow + keyword whole REFINEMENT yellow + keyword whole CONSTANTS yellow + keyword whole INVARIANT yellow + keyword whole sequences yellow + keyword whole VARIABLES yellow + keyword whole Cardinal yellow + + # Relations + keyword whole closure1 white + + keyword whole INCLUDES yellow + + # Arithmetic + keyword whole NATURAL1 yellow + + keyword whole PROMOTES yellow + keyword whole Boolean yellow + keyword whole EXTENDS yellow + keyword whole IMPORTS yellow + + # Arithmetic + keyword whole INTEGER yellow + + keyword whole iterate yellow + keyword whole MACHINE yellow + + # Arithmetic + keyword whole NATURAL yellow + + keyword whole postfix yellow + keyword whole REFINES yellow + keyword whole subtree yellow + keyword whole VARIANT yellow + keyword whole ASSERT yellow + keyword whole CHOICE yellow + + # Relations + keyword whole closure white + + keyword whole EITHER yellow + keyword whole father yellow + keyword whole MAXINT yellow + keyword whole MININT yellow + keyword whole mirror yellow + keyword whole modulo yellow + keyword whole prefix yellow + keyword whole SELECT yellow + keyword whole STRING yellow + keyword whole struct yellow + keyword whole VALUES yellow + keyword whole arity yellow + keyword whole BEGIN yellow + keyword whole btree yellow + keyword whole const yellow + keyword whole ELSIF yellow + keyword whole FALSE yellow + keyword whole first yellow + keyword whole front yellow + keyword whole infix yellow + + # Sets + keyword whole INTER yellow + + keyword whole inter yellow + keyword whole iseq1 yellow + keyword whole right yellow + + # Arithmetic + keyword whole SIGMA yellow + + keyword whole sizet yellow + + # Sets + keyword whole UNION yellow + + keyword whole union yellow + keyword whole WHERE yellow + keyword whole WHILE yellow + keyword whole bool yellow + keyword whole BOOL yellow + keyword whole card yellow + keyword whole CASE yellow + keyword whole conc yellow + keyword whole ELSE yellow + + # Sets + keyword whole FIN1 yellow + + keyword whole iseq yellow + keyword whole last yellow + keyword whole left yellow + keyword whole NAT1 yellow + + # Sets + keyword whole POW1 yellow + + keyword whole perm yellow + keyword whole pred yellow + keyword whole prj1 yellow + keyword whole prj2 yellow + keyword whole rank yellow + keyword whole SEES yellow + keyword whole seq1 yellow + keyword whole SETS yellow + keyword whole size yellow + keyword whole skip yellow + keyword whole sons yellow + keyword whole succ yellow + keyword whole tail yellow + keyword whole THEN yellow + keyword whole tree yellow + keyword whole TRUE yellow + keyword whole USES yellow + keyword whole WHEN yellow + keyword whole ANY yellow + keyword whole bin yellow + keyword whole dom yellow + keyword whole END yellow + + # Sets + keyword whole FIN yellow + + keyword whole fnc yellow + keyword whole INT yellow + keyword whole LET yellow + keyword whole max yellow + keyword whole min yellow + keyword whole mod yellow + keyword whole NAT yellow + + # Logical + keyword whole not cyan + + # Sets + keyword whole POW yellow + + keyword whole PRE yellow + keyword whole ran yellow + keyword whole rec yellow + keyword whole rel yellow + keyword whole rev yellow + keyword whole seq yellow + keyword whole Set yellow + keyword whole son yellow + keyword whole top yellow + keyword whole VAR yellow + keyword whole BE yellow + keyword whole DO yellow + keyword whole id yellow + keyword whole IF yellow + keyword whole IN yellow + keyword whole OF yellow + keyword whole OR yellow + + # Logical + keyword whole or cyan + + # Arithmetic + keyword whole PI yellow + +# prevents - keyword from interfering with comment + keyword /\* green + keyword \*/ green + keyword // green + + + keyword <-- yellow + keyword := yellow + + + # Relations + keyword \+->> white + + # Relations + keyword -->> white + + # Sets + keyword /<<: yellow + + # Sequences + keyword /|\\ magenta + + # Relations + keyword >->> white + + # Relations + keyword >\+> white + + # Arithmetic + keyword \*\* yellow + + # Relations + keyword \+-> white + + # Sequences + keyword \\|/ magenta + + # Relations + keyword --> white + + # Sets + keyword /<: yellow + + # Sets + keyword /\\ yellow + + # Relations + keyword <-> white + + # Sets + keyword <<: yellow + + # Relations + keyword <<| white + + # Logical + keyword <=> cyan + + # Relations + keyword <\+ white + + # Relations + keyword >-> white + + # Sets + keyword \\/ yellow + + # Relations + keyword |-> white + + # Relations + keyword |>> white + + keyword != yellow + + # Sequences + keyword -> magenta + + # Sets + keyword /: yellow + + # Logical + keyword /= cyan + + # Substitutions + keyword :: yellow + + # Sequences + keyword <- magenta + + # Sets + keyword <: yellow + + # Arithmetic + keyword <= yellow + + # Relations + keyword <| white + + keyword == yellow + + # Logical + keyword => cyan + + # Relations + keyword >< white + + # Arithmetic + keyword >= yellow + + # Arithmetic + keyword \* yellow + + # Arithmetic + keyword \+ yellow + + # Sets + keyword {} yellow + + # Relations + keyword |> white + + # Logical + keyword ! cyan + + # Logical + keyword # cyan + + # Relations + keyword % white + + # Logical + keyword & cyan + + keyword ( yellow + keyword ) yellow + keyword , yellow + + # Arithmetic + keyword - yellow + + # Arithmetic + keyword / yellow + + # Sets + keyword : yellow + + keyword ; yellow + + # Arithmetic + keyword < yellow + + # Logical + keyword = cyan + + # Arithmetic + keyword > yellow + + keyword ? yellow + keyword [ yellow + keyword ] yellow + + # Sequences + keyword ^ magenta + + keyword { yellow + keyword } yellow + + keyword | yellow + + # Relations + keyword ~ white + + +context exclusive /\* \*/ green + spellcheck + +context exclusive // \n green + spellcheck + +context " " brightgreen diff --git a/misc/syntax/c.syntax b/misc/syntax/c.syntax new file mode 100644 index 0000000..e6fabe9 --- /dev/null +++ b/misc/syntax/c.syntax @@ -0,0 +1,108 @@ +# Syntax rules for the C and the C++ programming languages + +context default + keyword whole auto yellow + keyword whole break yellow + keyword whole case yellow + keyword whole char yellow + keyword whole const yellow + keyword whole continue yellow + keyword whole do yellow + keyword whole double yellow + keyword whole else yellow + keyword whole enum yellow + keyword whole extern yellow + keyword whole float yellow + keyword whole for yellow + keyword whole goto yellow + keyword whole if yellow + keyword whole int yellow + keyword whole long yellow + keyword whole register yellow + keyword whole return yellow + keyword whole short yellow + keyword whole signed yellow + keyword whole sizeof yellow + keyword whole static yellow + keyword whole struct yellow + keyword whole switch yellow + keyword whole typedef yellow + keyword whole union yellow + keyword whole unsigned yellow + keyword whole void yellow + keyword whole volatile yellow + keyword whole while yellow + keyword whole asm yellow + keyword whole inline yellow + keyword whole wchar_t yellow + keyword whole ... yellow + keyword linestart \{\s\t\}\[\s\t\]#*\n brightmagenta + keyword whole \[\s\t\]default yellow + keyword whole linestart \[\s\t\]\{ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]: cyan + + keyword /\* brown + keyword \*/ brown + keyword // brown + + keyword '\\\{"abtnvfr\}' brightgreen + keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen + keyword '\\'' brightgreen + keyword '\\\\' brightgreen + keyword '\\0' brightgreen + keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~ ¡¢£¤¥¦§¨©ª«¬­®¯°±²³´µ¶·¸¹º»¼½¾¿ÀÁÂÃÄÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâãäåæçèéêëìíîïðñòóôõö÷øùúûüýþÿ\}' brightgreen + +# punctuation characters, sorted by ASCII code + keyword ! yellow + keyword % yellow + keyword && yellow + keyword & brightmagenta + keyword ( brightcyan + keyword ) brightcyan + keyword \* yellow + keyword \+ yellow + keyword , brightcyan + keyword - yellow + keyword / yellow + keyword : brightcyan + keyword ; brightmagenta + keyword < yellow + keyword = yellow + keyword > yellow + keyword ? brightcyan + keyword [ brightcyan + keyword ] brightcyan + keyword ^ brightmagenta + keyword { brightcyan + keyword || yellow + keyword | brightmagenta + keyword } brightcyan + keyword ~ brightmagenta + +context exclusive /\* \*/ brown + spellcheck + +context exclusive // \n brown + spellcheck + +context linestart # \n brightred + keyword \\\n yellow + keyword /\**\*/ brown + keyword //*\n brown + keyword "+" red + keyword <+> red + +context " " green + spellcheck + keyword \\" brightgreen + keyword %% brightgreen + keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen + keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen + keyword %\[hl\]n brightgreen + keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen + keyword %[*] brightgreen + keyword %c brightgreen + keyword %p brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{abtnvfr\} brightgreen diff --git a/misc/syntax/cabal.syntax b/misc/syntax/cabal.syntax new file mode 100644 index 0000000..a622401 --- /dev/null +++ b/misc/syntax/cabal.syntax @@ -0,0 +1,275 @@ +# Author : Mikhail S. Pobolovets +# Language : cabal +# +# haskell.syntax is taken as base +# + +caseinsensitive + +context default + +# cabal keywords + +# reserved keywords: + +#comments + keyword -- brown + +# unused symbols + keyword whole _\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] magenta + +# known fields + keyword whole \[\s\]author cyan + keyword whole \[\s\]bug-reports cyan + keyword whole \[\s\]buildable cyan + keyword whole \[\s\]build-depends cyan + keyword whole \[\s\]build-tools cyan + keyword whole \[\s\]build-type cyan + keyword whole \[\s\]cabal-version cyan + keyword whole \[\s\]category cyan + keyword whole \[\s\]cc-options cyan + keyword whole \[\s\]copyright cyan + keyword whole \[\s\]cpp-options cyan + keyword whole \[\s\]c-sources cyan + keyword whole \[\s\]data-dir cyan + keyword whole \[\s\]data-files cyan + keyword whole \[\s\]default-language cyan + keyword whole \[\s\]default cyan + keyword whole \[\s\]description cyan + keyword whole \[\s\]exposed-modules cyan + keyword whole \[\s\]exposed cyan + keyword whole \[\s\]extensions cyan + keyword whole \[\s\]extra-lib-dirs cyan + keyword whole \[\s\]extra-libraries cyan + keyword whole \[\s\]extra-source-files cyan + keyword whole \[\s\]extra-tmp-files cyan + keyword whole \[\s\]frameworks cyan + keyword whole \[\s\]ghc-options cyan + keyword whole \[\s\]ghc-prof-options cyan + keyword whole \[\s\]ghc-shared-options cyan + keyword whole \[\s\]homepage cyan + keyword whole \[\s\]hs-source-dirs cyan + keyword whole \[\s\]hugs-options cyan + keyword whole \[\s\]include-dirs cyan + keyword whole \[\s\]includes cyan + keyword whole \[\s\]install-includes cyan + keyword whole \[\s\]jhc-options cyan + keyword whole \[\s\]ld-options cyan + keyword whole \[\s\]license-file cyan + keyword whole \[\s\]license cyan + keyword whole \[\s\]location cyan + keyword whole \[\s\]main-is cyan + keyword whole \[\s\]maintainer cyan + keyword whole \[\s\]name cyan + keyword whole \[\s\]nhc98-options cyan + keyword whole \[\s\]other-extensions cyan + keyword whole \[\s\]other-modules cyan + keyword whole \[\s\]package-url cyan + keyword whole \[\s\]pkgconfig-depends cyan + keyword whole \[\s\]source-repository cyan + keyword whole \[\s\]stability cyan + keyword whole \[\s\]synopsis cyan + keyword whole \[\s\]tag cyan + keyword whole \[\s\]tested-with cyan + keyword whole \[\s\]type cyan + keyword whole \[\s\]version cyan + +# extended fields + keyword whole x\-\[\-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] cyan + +# version wildcard + keyword whole -any red + +# commandline keys + keyword whole -\[\-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz_0123456789\] brightred + +# known extensions + keyword whole Arrows brightmagenta + keyword whole BangPatterns brightmagenta + keyword whole ConstrainedClassMethods brightmagenta + keyword whole DeriveDataTypeable brightmagenta + keyword whole DisambiguateRecordFields brightmagenta + keyword whole EmptyDataDecls brightmagenta + keyword whole CPP brightmagenta + keyword whole ExistentialQuantification brightmagenta + keyword whole ExtendedDefaultRules brightmagenta + keyword whole ExtensibleRecords brightmagenta + keyword whole FlexibleContexts brightmagenta + keyword whole FlexibleInstances brightmagenta + keyword whole ForeignFunctionInterface brightmagenta + keyword whole FunctionalDependencies brightmagenta + keyword whole GADTs brightmagenta + keyword whole GeneralizedNewtypeDeriving brightmagenta + keyword whole Generics brightmagenta + keyword whole HereDocuments brightmagenta + keyword whole ImplicitParams brightmagenta + keyword whole ImpredicativeTypes brightmagenta + keyword whole IncoherentInstances brightmagenta + keyword whole KindSignatures brightmagenta + keyword whole LiberalTypeSynonyms brightmagenta + keyword whole MagicHash brightmagenta + keyword whole MultiParamTypeClasses brightmagenta + keyword whole NamedFieldPuns brightmagenta + keyword whole NewQualifiedOperators brightmagenta + keyword whole NoImplicitPrelude brightmagenta + keyword whole NoMonomorphismRestriction brightmagenta + keyword whole NoMonoPatBinds brightmagenta + keyword whole OverlappingInstances brightmagenta + keyword whole OverloadedStrings brightmagenta + keyword whole PackageImports brightmagenta + keyword whole ParallelListComp brightmagenta + keyword whole PatternGuards brightmagenta + keyword whole PatternSignatures brightmagenta + keyword whole PolymorphicComponents brightmagenta + keyword whole PostfixOperators brightmagenta + keyword whole QuasiQuotes brightmagenta + keyword whole Rank2Types brightmagenta + keyword whole RankNTypes brightmagenta + keyword whole RecordPuns brightmagenta + keyword whole RecordWildCards brightmagenta + keyword whole RecursiveDo brightmagenta + keyword whole RelaxedPolyRec brightmagenta + keyword whole RestrictedTypeSynonyms brightmagenta + keyword whole ScopedTypeVariables brightmagenta + keyword whole StandaloneDeriving brightmagenta + keyword whole TemplateHaskell brightmagenta + keyword whole TransformListComp brightmagenta + keyword whole TypeFamilies brightmagenta + keyword whole TypeOperators brightmagenta + keyword whole TypeSynonymInstances brightmagenta + keyword whole UnboxedTuples brightmagenta + keyword whole UndecidableInstances brightmagenta + keyword whole UnicodeSyntax brightmagenta + keyword whole UnliftedFFITypes brightmagenta + keyword whole ViewPatterns brightmagenta + +# links + keyword http://+ gray + keyword ftp://+ gray + keyword git://+ gray + keyword cvs://+ gray + keyword svn://+ gray + keyword darcs://+ gray +# special text inside of <>, i.e. emails + keyword \<+\> red + +# build types with '\s & \n' hack to remove too stupid highlighting + keyword whole Configure\s white + keyword whole Configure\n white + keyword whole Custom\s white + keyword whole Custom\n white + keyword whole Make\s white + keyword whole Make\n white + keyword whole Simple\n white + keyword whole Simple\s white + +# licenses + keyword whole AllRightsReserved white + keyword whole OtherLicense white + keyword whole PublicDomain white + keyword whole LGPL white + keyword whole GPL white + keyword whole LGPL2 white + keyword whole GPL2 white + keyword whole LGPL3 white + keyword whole GPL3 white + keyword whole BSD white + keyword whole BSD3 white + keyword whole BSD4 white + keyword whole MIT white + +# compilers + keyword whole GHC white + keyword whole NHC white + keyword whole JHC white + keyword whole HBC white + keyword whole Helium white + keyword whole Hugs white + +# special keywords + keyword whole True white + keyword whole False white + keyword whole if white + keyword whole else white + keyword whole os white + keyword whole impl white + keyword whole arch white + + keyword whole linestart \[\s\]executable yellow + keyword whole linestart \[\s\]library yellow + keyword whole linestart \[\s\]test-suite yellow + keyword whole flag yellow + keyword whole repo yellow + + +# unboxed (started from upcase) +# keyword whole \{ABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]# white +# types/modules +# keyword whole \{ABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white + +#digits + keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen + keyword whole \{0123456789\}\[0123456789\] brightgreen + keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen + + +# some punctuation characters + keyword whole $ yellow + keyword whole ! white + keyword whole && white + keyword whole \* yellow + keyword whole \+ yellow + keyword whole / yellow + keyword whole ^ white + keyword whole || white + keyword whole | white + + keyword ~ white + keyword , brightcyan + keyword - yellow + keyword : brightcyan + keyword ; yellow + keyword = yellow + keyword < yellow + keyword > yellow + keyword { white + keyword } white + keyword [ brightcyan + keyword ] brightcyan + keyword ( brightcyan + keyword ) brightcyan + +# comments +context exclusive {- -} brown + spellcheck + +context exclusive // \n brown + spellcheck + +context exclusive \-\- \n brown + spellcheck + +context " " green + spellcheck + + keyword \\" brightgreen + keyword %% brightgreen + keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen + keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen + keyword %\[hl\]n brightgreen + keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen + keyword %[*] brightgreen + keyword %c brightgreen + keyword %p brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{abtnvfr\} brightgreen + +# infix binop +context exclusive ` ` white diff --git a/misc/syntax/changelog.syntax b/misc/syntax/changelog.syntax new file mode 100644 index 0000000..00a13f1 --- /dev/null +++ b/misc/syntax/changelog.syntax @@ -0,0 +1,34 @@ +context default + spellcheck + +context linestart \t \n\n + keyword wholeright +() brightmagenta + keyword linestart \t\*\s*: brightcyan + keyword (*): cyan + keyword (*)\{\s\}[*]: cyan + +context linestart \s\s\s\s\s\s\s\s \n\n + keyword wholeright +() brightmagenta + keyword \*\s*: brightcyan + keyword (*): cyan + keyword (*)\{\s\}[*]: cyan + keyword whole \* brightcyan + +context linestart 19+-+\s \n yellow + keyword <+> brightred +context linestart 20+-+\s \n yellow + keyword <+> brightred +context linestart Mon\s \n yellow + keyword <+> brightred +context linestart Tue\s \n yellow + keyword <+> brightred +context linestart Wed\s \n yellow + keyword <+> brightred +context linestart Thu\s \n yellow + keyword <+> brightred +context linestart Fri\s \n yellow + keyword <+> brightred +context linestart Sat\s \n yellow + keyword <+> brightred +context linestart Sun\s \n yellow + keyword <+> brightred diff --git a/misc/syntax/cmake.syntax b/misc/syntax/cmake.syntax new file mode 100644 index 0000000..1bf8ae0 --- /dev/null +++ b/misc/syntax/cmake.syntax @@ -0,0 +1,782 @@ +# CMake syntax highlighting +# +# Author: Henrik Pauli + +wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_-/\ + +caseinsensitive +context default + + keyword ( brightcyan + keyword ) brightcyan + +# cmake --help-command-list + keyword whole add_compile_options brightred + keyword whole add_custom_command brightred + keyword whole add_custom_target brightred + keyword whole add_definitions brightred + keyword whole add_dependencies brightred + keyword whole add_executable brightred + keyword whole add_library brightred + keyword whole add_subdirectory brightred + keyword whole add_test brightred + keyword whole aux_source_directory brightred + keyword whole break brightred + keyword whole build_command brightred + keyword whole cmake_host_system_information brightred + keyword whole cmake_minimum_required brightred + keyword whole cmake_policy brightred + keyword whole configure_file brightred + keyword whole create_test_sourcelist brightred + keyword whole define_property brightred + keyword whole else brightred + keyword whole elseif brightred + keyword whole enable_language brightred + keyword whole enable_testing brightred + keyword whole endforeach brightred + keyword whole endfunction brightred + keyword whole endif brightred + keyword whole endmacro brightred + keyword whole endwhile brightred + keyword whole execute_process brightred + keyword whole export brightred + keyword whole file brightred + keyword whole find_file brightred + keyword whole find_library brightred + keyword whole find_package brightred + keyword whole find_path brightred + keyword whole find_program brightred + keyword whole fltk_wrap_ui brightred + keyword whole foreach brightred + keyword whole function brightred + keyword whole get_cmake_property brightred + keyword whole get_directory_property brightred + keyword whole get_filename_component brightred + keyword whole get_property brightred + keyword whole get_source_file_property brightred + keyword whole get_target_property brightred + keyword whole get_test_property brightred + keyword whole if brightred + keyword whole include brightred + keyword whole include_directories brightred + keyword whole include_external_msproject brightred + keyword whole include_regular_expression brightred + keyword whole install brightred + keyword whole link_directories brightred + keyword whole list brightred + keyword whole load_cache brightred + keyword whole load_command brightred + keyword whole macro brightred + keyword whole mark_as_advanced brightred + keyword whole math brightred + keyword whole message brightred + keyword whole option brightred + keyword whole project brightred + keyword whole qt_wrap_cpp brightred + keyword whole qt_wrap_ui brightred + keyword whole remove_definitions brightred + keyword whole return brightred + keyword whole separate_arguments brightred + keyword whole set brightred + keyword whole set_directory_properties brightred + keyword whole set_property brightred + keyword whole set_source_files_properties brightred + keyword whole set_target_properties brightred + keyword whole set_tests_properties brightred + keyword whole site_name brightred + keyword whole source_group brightred + keyword whole string brightred + keyword whole target_compile_definitions brightred + keyword whole target_compile_options brightred + keyword whole target_include_directories brightred + keyword whole target_link_libraries brightred + keyword whole try_compile brightred + keyword whole try_run brightred + keyword whole unset brightred + keyword whole variable_watch brightred + keyword whole while brightred + +# compatibility commands + keyword whole build_name red + keyword whole exec_program red + keyword whole export_library_dependencies red + keyword whole install_files red + keyword whole install_programs red + keyword whole install_targets red + keyword whole link_libraries red + keyword whole make_directory red + keyword whole output_required_files red + keyword whole remove red + keyword whole subdir_depends red + keyword whole subdirs red + keyword whole use_mangled_mesa red + keyword whole utility_source red + keyword whole variable_requires red + keyword whole write_file red + +# cmake --help-property-list + keyword whole ALLOW_DUPLICATE_CUSTOM_TARGETS white + keyword whole AUTOMOC_TARGETS_FOLDER white + keyword whole DEBUG_CONFIGURATIONS white + keyword whole DISABLED_FEATURES white + keyword whole ENABLED_FEATURES white + keyword whole ENABLED_LANGUAGES white + keyword whole FIND_LIBRARY_USE_LIB64_PATHS white + keyword whole FIND_LIBRARY_USE_OPENBSD_VERSIONING white + keyword whole GLOBAL_DEPENDS_DEBUG_MODE white + keyword whole GLOBAL_DEPENDS_NO_CYCLES white + keyword whole IN_TRY_COMPILE white + keyword whole PACKAGES_FOUND white + keyword whole PACKAGES_NOT_FOUND white + keyword whole PREDEFINED_TARGETS_FOLDER white + keyword whole REPORT_UNDEFINED_PROPERTIES white + keyword whole RULE_LAUNCH_COMPILE white + keyword whole RULE_LAUNCH_CUSTOM white + keyword whole RULE_LAUNCH_LINK white + keyword whole RULE_MESSAGES white + keyword whole TARGET_ARCHIVES_MAY_BE_SHARED_LIBS white + keyword whole TARGET_SUPPORTS_SHARED_LIBS white + keyword whole USE_FOLDERS white + keyword whole __CMAKE_DELETE_CACHE_CHANGE_VARS_ white + keyword whole ADDITIONAL_MAKE_CLEAN_FILES white + keyword whole CACHE_VARIABLES white + keyword whole CLEAN_NO_CUSTOM white + keyword whole COMPILE_DEFINITIONS white + keyword whole COMPILE_DEFINITIONS_+ white + keyword whole COMPILE_OPTIONS white + keyword whole DEFINITIONS white + keyword whole EXCLUDE_FROM_ALL white + keyword whole IMPLICIT_DEPENDS_INCLUDE_TRANSFORM white + keyword whole INCLUDE_DIRECTORIES white + keyword whole INCLUDE_REGULAR_EXPRESSION white + keyword whole INTERPROCEDURAL_OPTIMIZATION white + keyword whole INTERPROCEDURAL_OPTIMIZATION_+ white + keyword whole LINK_DIRECTORIES white + keyword whole LISTFILE_STACK white + keyword whole MACROS white + keyword whole PARENT_DIRECTORY white + keyword whole RULE_LAUNCH_COMPILE white + keyword whole RULE_LAUNCH_CUSTOM white + keyword whole RULE_LAUNCH_LINK white + keyword whole TEST_INCLUDE_FILE white + keyword whole VARIABLES white + keyword whole VS_GLOBAL_SECTION_POST_+ white + keyword whole VS_GLOBAL_SECTION_PRE_+ white + keyword whole +_OUTPUT_NAME white + keyword whole +_POSTFIX white + keyword whole +_VISIBILITY_PRESET white + keyword whole ALIASED_TARGET white + keyword whole ARCHIVE_OUTPUT_DIRECTORY white + keyword whole ARCHIVE_OUTPUT_DIRECTORY_+ white + keyword whole ARCHIVE_OUTPUT_NAME white + keyword whole ARCHIVE_OUTPUT_NAME_+ white + keyword whole AUTOMOC white + keyword whole AUTOMOC_MOC_OPTIONS white + keyword whole BUILD_WITH_INSTALL_RPATH white + keyword whole BUNDLE white + keyword whole BUNDLE_EXTENSION white + keyword whole COMPATIBLE_INTERFACE_BOOL white + keyword whole COMPATIBLE_INTERFACE_STRING white + keyword whole COMPILE_DEFINITIONS white + keyword whole COMPILE_DEFINITIONS_+ white + keyword whole COMPILE_FLAGS white + keyword whole COMPILE_OPTIONS white + keyword whole DEBUG_POSTFIX white + keyword whole DEFINE_SYMBOL white + keyword whole ENABLE_EXPORTS white + keyword whole EXCLUDE_FROM_ALL white + keyword whole EXCLUDE_FROM_DEFAULT_BUILD white + keyword whole EXCLUDE_FROM_DEFAULT_BUILD_+ white + keyword whole EXPORT_NAME white + keyword whole EchoString white + keyword whole FOLDER white + keyword whole FRAMEWORK white + keyword whole Fortran_FORMAT white + keyword whole Fortran_MODULE_DIRECTORY white + keyword whole GENERATOR_FILE_NAME white + keyword whole GNUtoMS white + keyword whole HAS_CXX white + keyword whole IMPLICIT_DEPENDS_INCLUDE_TRANSFORM white + keyword whole IMPORTED white + keyword whole IMPORTED_CONFIGURATIONS white + keyword whole IMPORTED_IMPLIB white + keyword whole IMPORTED_IMPLIB_+ white + keyword whole IMPORTED_LINK_DEPENDENT_LIBRARIES white + keyword whole IMPORTED_LINK_DEPENDENT_LIBRARIES_+ white + keyword whole IMPORTED_LINK_INTERFACE_LANGUAGES white + keyword whole IMPORTED_LINK_INTERFACE_LANGUAGES_+ white + keyword whole IMPORTED_LINK_INTERFACE_LIBRARIES white + keyword whole IMPORTED_LINK_INTERFACE_LIBRARIES_+ white + keyword whole IMPORTED_LINK_INTERFACE_MULTIPLICITY white + keyword whole IMPORTED_LINK_INTERFACE_MULTIPLICITY_+ white + keyword whole IMPORTED_LOCATION white + keyword whole IMPORTED_LOCATION_+ white + keyword whole IMPORTED_NO_SONAME white + keyword whole IMPORTED_NO_SONAME_+ white + keyword whole IMPORTED_SONAME white + keyword whole IMPORTED_SONAME_+ white + keyword whole IMPORT_PREFIX white + keyword whole IMPORT_SUFFIX white + keyword whole INCLUDE_DIRECTORIES white + keyword whole INSTALL_NAME_DIR white + keyword whole INSTALL_RPATH white + keyword whole INSTALL_RPATH_USE_LINK_PATH white + keyword whole INTERFACE_COMPILE_DEFINITIONS white + keyword whole INTERFACE_COMPILE_OPTIONS white + keyword whole INTERFACE_INCLUDE_DIRECTORIES white + keyword whole INTERFACE_LINK_LIBRARIES white + keyword whole INTERFACE_POSITION_INDEPENDENT_CODE white + keyword whole INTERFACE_SYSTEM_INCLUDE_DIRECTORIES white + keyword whole INTERPROCEDURAL_OPTIMIZATION white + keyword whole INTERPROCEDURAL_OPTIMIZATION_+ white + keyword whole LABELS white + keyword whole LIBRARY_OUTPUT_DIRECTORY white + keyword whole LIBRARY_OUTPUT_DIRECTORY_+ white + keyword whole LIBRARY_OUTPUT_NAME white + keyword whole LIBRARY_OUTPUT_NAME_+ white + keyword whole LINKER_LANGUAGE white + keyword whole LINK_DEPENDS white + keyword whole LINK_DEPENDS_NO_SHARED white + keyword whole LINK_FLAGS white + keyword whole LINK_FLAGS_+ white + keyword whole LINK_INTERFACE_LIBRARIES white + keyword whole LINK_INTERFACE_LIBRARIES_+ white + keyword whole LINK_INTERFACE_MULTIPLICITY white + keyword whole LINK_INTERFACE_MULTIPLICITY_+ white + keyword whole LINK_LIBRARIES white + keyword whole LINK_SEARCH_END_STATIC white + keyword whole LINK_SEARCH_START_STATIC white + keyword whole LOCATION white + keyword whole LOCATION_+ white + keyword whole MACOSX_BUNDLE white + keyword whole MACOSX_BUNDLE_INFO_PLIST white + keyword whole MACOSX_FRAMEWORK_INFO_PLIST white + keyword whole MACOSX_RPATH white + keyword whole MAP_IMPORTED_CONFIG_+ white + keyword whole NAME white + keyword whole NO_SONAME white + keyword whole OSX_ARCHITECTURES white + keyword whole OSX_ARCHITECTURES_+ white + keyword whole OUTPUT_NAME white + keyword whole OUTPUT_NAME_+ white + keyword whole PDB_NAME white + keyword whole PDB_NAME_+ white + keyword whole PDB_OUTPUT_DIRECTORY white + keyword whole PDB_OUTPUT_DIRECTORY_+ white + keyword whole POSITION_INDEPENDENT_CODE white + keyword whole POST_INSTALL_SCRIPT white + keyword whole PREFIX white + keyword whole PRE_INSTALL_SCRIPT white + keyword whole PRIVATE_HEADER white + keyword whole PROJECT_LABEL white + keyword whole PUBLIC_HEADER white + keyword whole RESOURCE white + keyword whole RULE_LAUNCH_COMPILE white + keyword whole RULE_LAUNCH_CUSTOM white + keyword whole RULE_LAUNCH_LINK white + keyword whole RUNTIME_OUTPUT_DIRECTORY white + keyword whole RUNTIME_OUTPUT_DIRECTORY_+ white + keyword whole RUNTIME_OUTPUT_NAME white + keyword whole RUNTIME_OUTPUT_NAME_+ white + keyword whole SKIP_BUILD_RPATH white + keyword whole SOURCES white + keyword whole SOVERSION white + keyword whole STATIC_LIBRARY_FLAGS white + keyword whole STATIC_LIBRARY_FLAGS_+ white + keyword whole SUFFIX white + keyword whole TYPE white + keyword whole VERSION white + keyword whole VISIBILITY_INLINES_HIDDEN white + keyword whole VS_DOTNET_REFERENCES white + keyword whole VS_DOTNET_TARGET_FRAMEWORK_VERSION white + keyword whole VS_GLOBAL_+ white + keyword whole VS_GLOBAL_KEYWORD white + keyword whole VS_GLOBAL_PROJECT_TYPES white + keyword whole VS_GLOBAL_ROOTNAMESPACE white + keyword whole VS_KEYWORD white + keyword whole VS_SCC_AUXPATH white + keyword whole VS_SCC_LOCALPATH white + keyword whole VS_SCC_PROJECTNAME white + keyword whole VS_SCC_PROVIDER white + keyword whole VS_WINRT_EXTENSIONS white + keyword whole VS_WINRT_REFERENCES white + keyword whole WIN32_EXECUTABLE white + keyword whole XCODE_ATTRIBUTE_+ white + keyword whole ATTACHED_FILES white + keyword whole ATTACHED_FILES_ON_FAIL white + keyword whole COST white + keyword whole DEPENDS white + keyword whole ENVIRONMENT white + keyword whole FAIL_REGULAR_EXPRESSION white + keyword whole LABELS white + keyword whole MEASUREMENT white + keyword whole PASS_REGULAR_EXPRESSION white + keyword whole PROCESSORS white + keyword whole REQUIRED_FILES white + keyword whole RESOURCE_LOCK white + keyword whole RUN_SERIAL white + keyword whole TIMEOUT white + keyword whole WILL_FAIL white + keyword whole WORKING_DIRECTORY white + keyword whole ABSTRACT white + keyword whole COMPILE_DEFINITIONS white + keyword whole COMPILE_DEFINITIONS_+ white + keyword whole COMPILE_FLAGS white + keyword whole EXTERNAL_OBJECT white + keyword whole Fortran_FORMAT white + keyword whole GENERATED white + keyword whole HEADER_FILE_ONLY white + keyword whole KEEP_EXTENSION white + keyword whole LABELS white + keyword whole LANGUAGE white + keyword whole LOCATION white + keyword whole MACOSX_PACKAGE_LOCATION white + keyword whole OBJECT_DEPENDS white + keyword whole OBJECT_OUTPUTS white + keyword whole SYMBOLIC white + keyword whole WRAP_EXCLUDE white + keyword whole ADVANCED white + keyword whole HELPSTRING white + keyword whole MODIFIED white + keyword whole STRINGS white + keyword whole TYPE white + keyword whole VALUE white + +# cmake --help-module-list + keyword whole AddFileDependencies brightmagenta + keyword whole BundleUtilities brightmagenta + keyword whole CMakeAddFortranSubdirectory brightmagenta + keyword whole CMakeBackwardCompatibilityCXX brightmagenta + keyword whole CMakeDependentOption brightmagenta + keyword whole CMakeDetermineVSServicePack brightmagenta + keyword whole CMakeExpandImportedTargets brightmagenta + keyword whole CMakeFindFrameworks brightmagenta + keyword whole CMakeFindPackageMode brightmagenta + keyword whole CMakeForceCompiler brightmagenta + keyword whole CMakeGraphVizOptions brightmagenta + keyword whole CMakePackageConfigHelpers brightmagenta + keyword whole CMakeParseArguments brightmagenta + keyword whole CMakePrintHelpers brightmagenta + keyword whole CMakePrintSystemInformation brightmagenta + keyword whole CMakePushCheckState brightmagenta + keyword whole CMakeVerifyManifest brightmagenta + keyword whole CPack brightmagenta + keyword whole CPackBundle brightmagenta + keyword whole CPackComponent brightmagenta + keyword whole CPackCygwin brightmagenta + keyword whole CPackDMG brightmagenta + keyword whole CPackDeb brightmagenta + keyword whole CPackNSIS brightmagenta + keyword whole CPackPackageMaker brightmagenta + keyword whole CPackRPM brightmagenta + keyword whole CPackWIX brightmagenta + keyword whole CTest brightmagenta + keyword whole CTestScriptMode brightmagenta + keyword whole CTestUseLaunchers brightmagenta + keyword whole CheckCCompilerFlag brightmagenta + keyword whole CheckCSourceCompiles brightmagenta + keyword whole CheckCSourceRuns brightmagenta + keyword whole CheckCXXCompilerFlag brightmagenta + keyword whole CheckCXXSourceCompiles brightmagenta + keyword whole CheckCXXSourceRuns brightmagenta + keyword whole CheckCXXSymbolExists brightmagenta + keyword whole CheckFortranFunctionExists brightmagenta + keyword whole CheckFunctionExists brightmagenta + keyword whole CheckIncludeFile brightmagenta + keyword whole CheckIncludeFileCXX brightmagenta + keyword whole CheckIncludeFiles brightmagenta + keyword whole CheckLanguage brightmagenta + keyword whole CheckLibraryExists brightmagenta + keyword whole CheckPrototypeDefinition brightmagenta + keyword whole CheckStructHasMember brightmagenta + keyword whole CheckSymbolExists brightmagenta + keyword whole CheckTypeSize brightmagenta + keyword whole CheckVariableExists brightmagenta + keyword whole Dart brightmagenta + keyword whole DeployQt4 brightmagenta + keyword whole Documentation brightmagenta + keyword whole ExternalData brightmagenta + keyword whole ExternalProject brightmagenta + keyword whole FLTKConfig brightmagenta + keyword whole FeatureSummary brightmagenta + keyword whole FindALSA brightmagenta + keyword whole FindASPELL brightmagenta + keyword whole FindAVIFile brightmagenta + keyword whole FindArmadillo brightmagenta + keyword whole FindBISON brightmagenta + keyword whole FindBLAS brightmagenta + keyword whole FindBZip2 brightmagenta + keyword whole FindBoost brightmagenta + keyword whole FindBullet brightmagenta + keyword whole FindCABLE brightmagenta + keyword whole FindCUDA brightmagenta + keyword whole FindCURL brightmagenta + keyword whole FindCVS brightmagenta + keyword whole FindCoin3D brightmagenta + keyword whole FindCups brightmagenta + keyword whole FindCurses brightmagenta + keyword whole FindCxxTest brightmagenta + keyword whole FindCygwin brightmagenta + keyword whole FindDCMTK brightmagenta + keyword whole FindDart brightmagenta + keyword whole FindDevIL brightmagenta + keyword whole FindDoxygen brightmagenta + keyword whole FindEXPAT brightmagenta + keyword whole FindFLEX brightmagenta + keyword whole FindFLTK brightmagenta + keyword whole FindFLTK2 brightmagenta + keyword whole FindFreetype brightmagenta + keyword whole FindGCCXML brightmagenta + keyword whole FindGDAL brightmagenta + keyword whole FindGIF brightmagenta + keyword whole FindGLEW brightmagenta + keyword whole FindGLUT brightmagenta + keyword whole FindGTK brightmagenta + keyword whole FindGTK2 brightmagenta + keyword whole FindGTest brightmagenta + keyword whole FindGettext brightmagenta + keyword whole FindGit brightmagenta + keyword whole FindGnuTLS brightmagenta + keyword whole FindGnuplot brightmagenta + keyword whole FindHDF5 brightmagenta + keyword whole FindHSPELL brightmagenta + keyword whole FindHTMLHelp brightmagenta + keyword whole FindHg brightmagenta + keyword whole FindITK brightmagenta + keyword whole FindIcotool brightmagenta + keyword whole FindImageMagick brightmagenta + keyword whole FindJNI brightmagenta + keyword whole FindJPEG brightmagenta + keyword whole FindJasper brightmagenta + keyword whole FindJava brightmagenta + keyword whole FindKDE3 brightmagenta + keyword whole FindKDE4 brightmagenta + keyword whole FindLAPACK brightmagenta + keyword whole FindLATEX brightmagenta + keyword whole FindLibArchive brightmagenta + keyword whole FindLibLZMA brightmagenta + keyword whole FindLibXml2 brightmagenta + keyword whole FindLibXslt brightmagenta + keyword whole FindLua50 brightmagenta + keyword whole FindLua51 brightmagenta + keyword whole FindMFC brightmagenta + keyword whole FindMPEG brightmagenta + keyword whole FindMPEG2 brightmagenta + keyword whole FindMPI brightmagenta + keyword whole FindMatlab brightmagenta + keyword whole FindMotif brightmagenta + keyword whole FindOpenAL brightmagenta + keyword whole FindOpenGL brightmagenta + keyword whole FindOpenMP brightmagenta + keyword whole FindOpenSSL brightmagenta + keyword whole FindOpenSceneGraph brightmagenta + keyword whole FindOpenThreads brightmagenta + keyword whole FindPHP4 brightmagenta + keyword whole FindPNG brightmagenta + keyword whole FindPackageHandleStandardArgs brightmagenta + keyword whole FindPackageMessage brightmagenta + keyword whole FindPerl brightmagenta + keyword whole FindPerlLibs brightmagenta + keyword whole FindPhysFS brightmagenta + keyword whole FindPike brightmagenta + keyword whole FindPkgConfig brightmagenta + keyword whole FindPostgreSQL brightmagenta + keyword whole FindProducer brightmagenta + keyword whole FindProtobuf brightmagenta + keyword whole FindPythonInterp brightmagenta + keyword whole FindPythonLibs brightmagenta + keyword whole FindQt brightmagenta + keyword whole FindQt3 brightmagenta + keyword whole FindQt4 brightmagenta + keyword whole FindQuickTime brightmagenta + keyword whole FindRTI brightmagenta + keyword whole FindRuby brightmagenta + keyword whole FindSDL brightmagenta + keyword whole FindSDL_image brightmagenta + keyword whole FindSDL_mixer brightmagenta + keyword whole FindSDL_net brightmagenta + keyword whole FindSDL_sound brightmagenta + keyword whole FindSDL_ttf brightmagenta + keyword whole FindSWIG brightmagenta + keyword whole FindSelfPackers brightmagenta + keyword whole FindSquish brightmagenta + keyword whole FindSubversion brightmagenta + keyword whole FindTCL brightmagenta + keyword whole FindTIFF brightmagenta + keyword whole FindTclStub brightmagenta + keyword whole FindTclsh brightmagenta + keyword whole FindThreads brightmagenta + keyword whole FindUnixCommands brightmagenta + keyword whole FindVTK brightmagenta + keyword whole FindWget brightmagenta + keyword whole FindWish brightmagenta + keyword whole FindX11 brightmagenta + keyword whole FindXMLRPC brightmagenta + keyword whole FindZLIB brightmagenta + keyword whole Findlibproxy brightmagenta + keyword whole Findosg brightmagenta + keyword whole FindosgAnimation brightmagenta + keyword whole FindosgDB brightmagenta + keyword whole FindosgFX brightmagenta + keyword whole FindosgGA brightmagenta + keyword whole FindosgIntrospection brightmagenta + keyword whole FindosgManipulator brightmagenta + keyword whole FindosgParticle brightmagenta + keyword whole FindosgPresentation brightmagenta + keyword whole FindosgProducer brightmagenta + keyword whole FindosgQt brightmagenta + keyword whole FindosgShadow brightmagenta + keyword whole FindosgSim brightmagenta + keyword whole FindosgTerrain brightmagenta + keyword whole FindosgText brightmagenta + keyword whole FindosgUtil brightmagenta + keyword whole FindosgViewer brightmagenta + keyword whole FindosgVolume brightmagenta + keyword whole FindosgWidget brightmagenta + keyword whole Findosg_functions brightmagenta + keyword whole FindwxWidgets brightmagenta + keyword whole FindwxWindows brightmagenta + keyword whole FortranCInterface brightmagenta + keyword whole GNUInstallDirs brightmagenta + keyword whole GenerateExportHeader brightmagenta + keyword whole GetPrerequisites brightmagenta + keyword whole InstallRequiredSystemLibraries brightmagenta + keyword whole MacroAddFileDependencies brightmagenta + keyword whole ProcessorCount brightmagenta + keyword whole Qt4ConfigDependentSettings brightmagenta + keyword whole Qt4Macros brightmagenta + keyword whole SelectLibraryConfigurations brightmagenta + keyword whole SquishTestScript brightmagenta + keyword whole TestBigEndian brightmagenta + keyword whole TestCXXAcceptsFlag brightmagenta + keyword whole TestForANSIForScope brightmagenta + keyword whole TestForANSIStreamHeaders brightmagenta + keyword whole TestForSSTREAM brightmagenta + keyword whole TestForSTDNamespace brightmagenta + keyword whole UseEcos brightmagenta + keyword whole UseJava brightmagenta + keyword whole UseJavaClassFilelist brightmagenta + keyword whole UseJavaSymlinks brightmagenta + keyword whole UsePkgConfig brightmagenta + keyword whole UseQt4 brightmagenta + keyword whole UseSWIG brightmagenta + keyword whole Use_wxWindows brightmagenta + keyword whole UsewxWidgets brightmagenta + keyword whole WriteBasicConfigVersionFile brightmagenta + +# cmake --help-variable-list + keyword whole CMAKE_AR brightgreen + keyword whole CMAKE_ARGC brightgreen + keyword whole CMAKE_ARGV0 brightgreen + keyword whole CMAKE_BINARY_DIR brightgreen + keyword whole CMAKE_BUILD_TOOL brightgreen + keyword whole CMAKE_CACHEFILE_DIR brightgreen + keyword whole CMAKE_CACHE_MAJOR_VERSION brightgreen + keyword whole CMAKE_CACHE_MINOR_VERSION brightgreen + keyword whole CMAKE_CACHE_PATCH_VERSION brightgreen + keyword whole CMAKE_CFG_INTDIR brightgreen + keyword whole CMAKE_COMMAND brightgreen + keyword whole CMAKE_CROSSCOMPILING brightgreen + keyword whole CMAKE_CTEST_COMMAND brightgreen + keyword whole CMAKE_CURRENT_BINARY_DIR brightgreen + keyword whole CMAKE_CURRENT_LIST_DIR brightgreen + keyword whole CMAKE_CURRENT_LIST_FILE brightgreen + keyword whole CMAKE_CURRENT_LIST_LINE brightgreen + keyword whole CMAKE_CURRENT_SOURCE_DIR brightgreen + keyword whole CMAKE_DL_LIBS brightgreen + keyword whole CMAKE_EDIT_COMMAND brightgreen + keyword whole CMAKE_EXECUTABLE_SUFFIX brightgreen + keyword whole CMAKE_EXTRA_GENERATOR brightgreen + keyword whole CMAKE_EXTRA_SHARED_LIBRARY_SUFFIXES brightgreen + keyword whole CMAKE_GENERATOR brightgreen + keyword whole CMAKE_GENERATOR_TOOLSET brightgreen + keyword whole CMAKE_HOME_DIRECTORY brightgreen + keyword whole CMAKE_IMPORT_LIBRARY_PREFIX brightgreen + keyword whole CMAKE_IMPORT_LIBRARY_SUFFIX brightgreen + keyword whole CMAKE_LINK_LIBRARY_SUFFIX brightgreen + keyword whole CMAKE_MAJOR_VERSION brightgreen + keyword whole CMAKE_MAKE_PROGRAM brightgreen + keyword whole CMAKE_MINIMUM_REQUIRED_VERSION brightgreen + keyword whole CMAKE_MINOR_VERSION brightgreen + keyword whole CMAKE_PARENT_LIST_FILE brightgreen + keyword whole CMAKE_PATCH_VERSION brightgreen + keyword whole CMAKE_PROJECT_NAME brightgreen + keyword whole CMAKE_RANLIB brightgreen + keyword whole CMAKE_ROOT brightgreen + keyword whole CMAKE_SCRIPT_MODE_FILE brightgreen + keyword whole CMAKE_SHARED_LIBRARY_PREFIX brightgreen + keyword whole CMAKE_SHARED_LIBRARY_SUFFIX brightgreen + keyword whole CMAKE_SHARED_MODULE_PREFIX brightgreen + keyword whole CMAKE_SHARED_MODULE_SUFFIX brightgreen + keyword whole CMAKE_SIZEOF_VOID_P brightgreen + keyword whole CMAKE_SKIP_RPATH brightgreen + keyword whole CMAKE_SOURCE_DIR brightgreen + keyword whole CMAKE_STANDARD_LIBRARIES brightgreen + keyword whole CMAKE_STATIC_LIBRARY_PREFIX brightgreen + keyword whole CMAKE_STATIC_LIBRARY_SUFFIX brightgreen + keyword whole CMAKE_TWEAK_VERSION brightgreen + keyword whole CMAKE_VERBOSE_MAKEFILE brightgreen + keyword whole CMAKE_VERSION brightgreen + keyword whole CMAKE_VS_PLATFORM_TOOLSET brightgreen + keyword whole CMAKE_XCODE_PLATFORM_TOOLSET brightgreen + keyword whole PROJECT_BINARY_DIR brightgreen + keyword whole PROJECT_NAME brightgreen + keyword whole PROJECT_SOURCE_DIR brightgreen + keyword whole +_BINARY_DIR brightgreen + keyword whole +_SOURCE_DIR brightgreen + keyword whole BUILD_SHARED_LIBS brightgreen + keyword whole CMAKE_ABSOLUTE_DESTINATION_FILES brightgreen + keyword whole CMAKE_AUTOMOC_RELAXED_MODE brightgreen + keyword whole CMAKE_BACKWARDS_COMPATIBILITY brightgreen + keyword whole CMAKE_BUILD_TYPE brightgreen + keyword whole CMAKE_COLOR_MAKEFILE brightgreen + keyword whole CMAKE_CONFIGURATION_TYPES brightgreen + keyword whole CMAKE_DEBUG_TARGET_PROPERTIES brightgreen + keyword whole CMAKE_DISABLE_FIND_PACKAGE_+ brightgreen + keyword whole CMAKE_ERROR_DEPRECATED brightgreen + keyword whole CMAKE_ERROR_ON_ABSOLUTE_INSTALL_DESTINATION brightgreen + keyword whole CMAKE_FIND_LIBRARY_PREFIXES brightgreen + keyword whole CMAKE_FIND_LIBRARY_SUFFIXES brightgreen + keyword whole CMAKE_FIND_PACKAGE_WARN_NO_MODULE brightgreen + keyword whole CMAKE_IGNORE_PATH brightgreen + keyword whole CMAKE_INCLUDE_PATH brightgreen + keyword whole CMAKE_INSTALL_DEFAULT_COMPONENT_NAME brightgreen + keyword whole CMAKE_INSTALL_PREFIX brightgreen + keyword whole CMAKE_LIBRARY_PATH brightgreen + keyword whole CMAKE_MFC_FLAG brightgreen + keyword whole CMAKE_MODULE_PATH brightgreen + keyword whole CMAKE_NOT_USING_CONFIG_FLAGS brightgreen + keyword whole CMAKE_POLICY_DEFAULT_CMP+ brightgreen + keyword whole CMAKE_PREFIX_PATH brightgreen + keyword whole CMAKE_PROGRAM_PATH brightgreen + keyword whole CMAKE_SKIP_INSTALL_ALL_DEPENDENCY brightgreen + keyword whole CMAKE_SYSTEM_IGNORE_PATH brightgreen + keyword whole CMAKE_SYSTEM_INCLUDE_PATH brightgreen + keyword whole CMAKE_SYSTEM_LIBRARY_PATH brightgreen + keyword whole CMAKE_SYSTEM_PREFIX_PATH brightgreen + keyword whole CMAKE_SYSTEM_PROGRAM_PATH brightgreen + keyword whole CMAKE_USER_MAKE_RULES_OVERRIDE brightgreen + keyword whole CMAKE_WARN_DEPRECATED brightgreen + keyword whole CMAKE_WARN_ON_ABSOLUTE_INSTALL_DESTINATION brightgreen + keyword whole APPLE brightgreen + keyword whole BORLAND brightgreen + keyword whole CMAKE_CL_64 brightgreen + keyword whole CMAKE_COMPILER_2005 brightgreen + keyword whole CMAKE_HOST_APPLE brightgreen + keyword whole CMAKE_HOST_SYSTEM brightgreen + keyword whole CMAKE_HOST_SYSTEM_NAME brightgreen + keyword whole CMAKE_HOST_SYSTEM_PROCESSOR brightgreen + keyword whole CMAKE_HOST_SYSTEM_VERSION brightgreen + keyword whole CMAKE_HOST_UNIX brightgreen + keyword whole CMAKE_HOST_WIN32 brightgreen + keyword whole CMAKE_LIBRARY_ARCHITECTURE brightgreen + keyword whole CMAKE_LIBRARY_ARCHITECTURE_REGEX brightgreen + keyword whole CMAKE_OBJECT_PATH_MAX brightgreen + keyword whole CMAKE_SYSTEM brightgreen + keyword whole CMAKE_SYSTEM_NAME brightgreen + keyword whole CMAKE_SYSTEM_PROCESSOR brightgreen + keyword whole CMAKE_SYSTEM_VERSION brightgreen + keyword whole CYGWIN brightgreen + keyword whole ENV brightgreen + keyword whole MSVC brightgreen + keyword whole MSVC10 brightgreen + keyword whole MSVC11 brightgreen + keyword whole MSVC12 brightgreen + keyword whole MSVC60 brightgreen + keyword whole MSVC70 brightgreen + keyword whole MSVC71 brightgreen + keyword whole MSVC80 brightgreen + keyword whole MSVC90 brightgreen + keyword whole MSVC_IDE brightgreen + keyword whole MSVC_VERSION brightgreen + keyword whole UNIX brightgreen + keyword whole WIN32 brightgreen + keyword whole XCODE_VERSION brightgreen + keyword whole CMAKE_+_POSTFIX brightgreen + keyword whole CMAKE_+_VISIBILITY_PRESET brightgreen + keyword whole CMAKE_ARCHIVE_OUTPUT_DIRECTORY brightgreen + keyword whole CMAKE_AUTOMOC brightgreen + keyword whole CMAKE_AUTOMOC_MOC_OPTIONS brightgreen + keyword whole CMAKE_BUILD_WITH_INSTALL_RPATH brightgreen + keyword whole CMAKE_DEBUG_POSTFIX brightgreen + keyword whole CMAKE_EXE_LINKER_FLAGS brightgreen + keyword whole CMAKE_EXE_LINKER_FLAGS_+ brightgreen + keyword whole CMAKE_Fortran_FORMAT brightgreen + keyword whole CMAKE_Fortran_MODULE_DIRECTORY brightgreen + keyword whole CMAKE_GNUtoMS brightgreen + keyword whole CMAKE_INCLUDE_CURRENT_DIR brightgreen + keyword whole CMAKE_INCLUDE_CURRENT_DIR_IN_INTERFACE brightgreen + keyword whole CMAKE_INSTALL_NAME_DIR brightgreen + keyword whole CMAKE_INSTALL_RPATH brightgreen + keyword whole CMAKE_INSTALL_RPATH_USE_LINK_PATH brightgreen + keyword whole CMAKE_LIBRARY_OUTPUT_DIRECTORY brightgreen + keyword whole CMAKE_LIBRARY_PATH_FLAG brightgreen + keyword whole CMAKE_LINK_DEF_FILE_FLAG brightgreen + keyword whole CMAKE_LINK_DEPENDS_NO_SHARED brightgreen + keyword whole CMAKE_LINK_INTERFACE_LIBRARIES brightgreen + keyword whole CMAKE_LINK_LIBRARY_FILE_FLAG brightgreen + keyword whole CMAKE_LINK_LIBRARY_FLAG brightgreen + keyword whole CMAKE_MACOSX_BUNDLE brightgreen + keyword whole CMAKE_MODULE_LINKER_FLAGS brightgreen + keyword whole CMAKE_MODULE_LINKER_FLAGS_+ brightgreen + keyword whole CMAKE_NO_BUILTIN_CHRPATH brightgreen + keyword whole CMAKE_PDB_OUTPUT_DIRECTORY brightgreen + keyword whole CMAKE_POSITION_INDEPENDENT_CODE brightgreen + keyword whole CMAKE_RUNTIME_OUTPUT_DIRECTORY brightgreen + keyword whole CMAKE_SHARED_LINKER_FLAGS brightgreen + keyword whole CMAKE_SHARED_LINKER_FLAGS_+ brightgreen + keyword whole CMAKE_SKIP_BUILD_RPATH brightgreen + keyword whole CMAKE_SKIP_INSTALL_RPATH brightgreen + keyword whole CMAKE_STATIC_LINKER_FLAGS brightgreen + keyword whole CMAKE_STATIC_LINKER_FLAGS_+ brightgreen + keyword whole CMAKE_TRY_COMPILE_CONFIGURATION brightgreen + keyword whole CMAKE_USE_RELATIVE_PATHS brightgreen + keyword whole CMAKE_VISIBILITY_INLINES_HIDDEN brightgreen + keyword whole CMAKE_WIN32_EXECUTABLE brightgreen + keyword whole EXECUTABLE_OUTPUT_PATH brightgreen + keyword whole LIBRARY_OUTPUT_PATH brightgreen + keyword whole CMAKE_+_ARCHIVE_APPEND brightgreen + keyword whole CMAKE_+_ARCHIVE_CREATE brightgreen + keyword whole CMAKE_+_ARCHIVE_FINISH brightgreen + keyword whole CMAKE_+_COMPILER brightgreen + keyword whole CMAKE_+_COMPILER_ABI brightgreen + keyword whole CMAKE_+_COMPILER_ID brightgreen + keyword whole CMAKE_+_COMPILER_LOADED brightgreen + keyword whole CMAKE_+_COMPILER_VERSION brightgreen + keyword whole CMAKE_+_COMPILE_OBJECT brightgreen + keyword whole CMAKE_+_CREATE_SHARED_LIBRARY brightgreen + keyword whole CMAKE_+_CREATE_SHARED_MODULE brightgreen + keyword whole CMAKE_+_CREATE_STATIC_LIBRARY brightgreen + keyword whole CMAKE_+_FLAGS brightgreen + keyword whole CMAKE_+_FLAGS_DEBUG brightgreen + keyword whole CMAKE_+_FLAGS_MINSIZEREL brightgreen + keyword whole CMAKE_+_FLAGS_RELEASE brightgreen + keyword whole CMAKE_+_FLAGS_RELWITHDEBINFO brightgreen + keyword whole CMAKE_+_IGNORE_EXTENSIONS brightgreen + keyword whole CMAKE_+_IMPLICIT_INCLUDE_DIRECTORIES brightgreen + keyword whole CMAKE_+_IMPLICIT_LINK_DIRECTORIES brightgreen + keyword whole CMAKE_+_IMPLICIT_LINK_FRAMEWORK_DIRECTORIES brightgreen + keyword whole CMAKE_+_IMPLICIT_LINK_LIBRARIES brightgreen + keyword whole CMAKE_+_LIBRARY_ARCHITECTURE brightgreen + keyword whole CMAKE_+_LINKER_PREFERENCE brightgreen + keyword whole CMAKE_+_LINKER_PREFERENCE_PROPAGATES brightgreen + keyword whole CMAKE_+_LINK_EXECUTABLE brightgreen + keyword whole CMAKE_+_OUTPUT_EXTENSION brightgreen + keyword whole CMAKE_+_PLATFORM_ID brightgreen + keyword whole CMAKE_+_SIZEOF_DATA_PTR brightgreen + keyword whole CMAKE_+_SOURCE_FILE_EXTENSIONS brightgreen + keyword whole CMAKE_COMPILER_IS_GNU+ brightgreen + keyword whole CMAKE_Fortran_MODDIR_DEFAULT brightgreen + keyword whole CMAKE_Fortran_MODDIR_FLAG brightgreen + keyword whole CMAKE_Fortran_MODOUT_FLAG brightgreen + keyword whole CMAKE_INTERNAL_PLATFORM_ABI brightgreen + keyword whole CMAKE_USER_MAKE_RULES_OVERRIDE_+ brightgreen + + keyword ${*} brightgreen + + spellcheck + +context # \n brown + +context " " green + keyword ${*} brightgreen diff --git a/misc/syntax/cobol.syntax b/misc/syntax/cobol.syntax new file mode 100644 index 0000000..f83a627 --- /dev/null +++ b/misc/syntax/cobol.syntax @@ -0,0 +1,706 @@ +# syntax highlighting for cobol. +# the author is Wuerl, see https://midnight-commander.org/ticket/1987 +# adapted to use in mcedit version 4.8.24 by alex bodnaru + +caseinsensitive + +context default + keyword ;; brightred + keyword \\@ brightred + keyword \\$ brightred + keyword \\\\ brightred + keyword \\" brightred + keyword \\' brightred + keyword \\` brightred + keyword ` brightred + keyword ; brightcyan + keyword $(*) brightgreen + keyword ${*} brightgreen + keyword { brightcyan + keyword } brightcyan + + keyword whole linestart #!\[\s\]*\n brightcyan black + + keyword $\* brightred + keyword $@ brightred + keyword $# brightred + keyword $? brightred + keyword $- brightred + keyword $$ brightred + keyword $! brightred + keyword $_ brightred + + keyword wholeright $\[0123456789\] brightred + + keyword wholeright $+ brightgreen + + keyword $ brightgreen + + keyword wholeleft linestart function*() brightmagenta + keyword wholeleft linestart function\[\s\]+ brightmagenta + keyword wholeright +() brightmagenta + +wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._ + + keyword whole accept yellow + keyword whole access yellow + keyword whole add yellow + keyword whole adress yellow + keyword whole advancing yellow + keyword whole after yellow + keyword whole allowing yellow + keyword whole all yellow + keyword whole alpahanumeric-edited yellow + keyword whole alphabetic-lower yellow + keyword whole alphabetic-upper yellow + keyword whole alphabetic yellow + keyword whole alphabet yellow + keyword whole alphanumeric-edited yellow + keyword whole alphanumeric yellow + keyword whole also yellow + keyword whole alternate yellow + keyword whole alter yellow + keyword whole and yellow + keyword whole any yellow + keyword whole apply yellow + keyword whole areas yellow + keyword whole area yellow + keyword whole are yellow + keyword whole arithmetic yellow + keyword whole ascending yellow + keyword whole assign yellow + keyword whole as yellow + keyword whole at yellow + keyword whole author. yellow + keyword whole b-and yellow + keyword whole based-storage yellow + keyword whole based yellow + keyword whole basis yellow + keyword whole before yellow + keyword whole beginning yellow + keyword whole begin yellow + keyword whole between yellow + keyword whole b-exor yellow + keyword whole binary yellow + keyword whole binary- yellow + keyword whole bits yellow + keyword whole bit yellow + keyword whole blank yellow + keyword whole b-less yellow + keyword whole block yellow + keyword whole b-not yellow + keyword whole boolean yellow + keyword whole b-or yellow + keyword whole bottom yellow + keyword whole byte yellow + keyword whole by yellow + keyword whole call yellow + keyword whole cancel yellow + keyword whole caracter yellow + keyword whole cbl yellow + keyword whole cd yellow + keyword whole cf yellow + keyword whole characters yellow + keyword whole character yellow + keyword whole ch yellow + keyword whole class-id yellow + keyword whole class yellow + keyword whole clock-units yellow + keyword whole cobol yellow + keyword whole code-set yellow + keyword whole code yellow + keyword whole collating yellow + keyword whole column yellow + keyword whole command yellow + keyword whole comma yellow + keyword whole common yellow + keyword whole communication yellow + keyword whole comp-1 yellow + keyword whole comp-2 yellow + keyword whole comp-3 yellow + keyword whole comp-4 yellow + keyword whole comp-5 yellow + keyword whole comp-6 yellow + keyword whole comp-7 yellow + keyword whole comp-8 yellow + keyword whole complex yellow + keyword whole comp-n yellow + keyword whole computational-1 yellow + keyword whole computational-2 yellow + keyword whole computational-3 yellow + keyword whole computational-4 yellow + keyword whole computational-5 yellow + keyword whole computational-n yellow + keyword whole computational-x yellow + keyword whole computational yellow + keyword whole compute yellow + keyword whole comp-x yellow + keyword whole com-reg yellow + keyword whole configuration yellow + keyword whole console yellow + keyword whole constant yellow + keyword whole contained yellow + keyword whole contains yellow + keyword whole content yellow + keyword whole continue yellow + keyword whole control-area yellow + keyword whole controls yellow + keyword whole control yellow + keyword whole converting yellow + keyword whole copy yellow + keyword whole corresponding yellow + keyword whole corr yellow + keyword whole count yellow + keyword whole currency yellow + keyword whole current yellow + keyword whole cursor yellow + keyword whole custom-attribute yellow + keyword whole cycle yellow + keyword whole data yellow + keyword whole date-compiled. yellow + keyword whole date-written. yellow + keyword whole date yellow + keyword whole day-of-week yellow + keyword whole day yellow + keyword whole db-access-control-key yellow + keyword whole dbcs yellow + keyword whole db-data-name yellow + keyword whole db-exception yellow + keyword whole db-record-name yellow + keyword whole db-set-name yellow + keyword whole db-status yellow + keyword whole db yellow + keyword whole debug-contents yellow + keyword whole debugging yellow + keyword whole debug-item yellow + keyword whole debug-line yellow + keyword whole debug-name yellow + keyword whole debug-sub-1 yellow + keyword whole debug-sub-2 yellow + keyword whole debug-sub-3 yellow + keyword whole debug-sub yellow + keyword whole debug yellow + keyword whole decimal-point yellow + keyword whole declaratives yellow + keyword whole default yellow + keyword whole delegate-id yellow + keyword whole delegate yellow + keyword whole delimited yellow + keyword whole delimiter yellow + keyword whole depending yellow + keyword whole descending yellow + keyword whole destination yellow + keyword whole destination- yellow + keyword whole detail yellow + keyword whole de yellow + keyword whole disable yellow + keyword whole display-1 yellow + keyword whole display-2 yellow + keyword whole display-3 yellow + keyword whole display-4 yellow + keyword whole display-5 yellow + keyword whole display-6 yellow + keyword whole display-7 yellow + keyword whole display-8 yellow + keyword whole display-9 yellow + keyword whole display yellow + keyword whole display- yellow + keyword whole divide yellow + keyword whole division. yellow + keyword whole down yellow + keyword whole duplicates yellow + keyword whole duplicate yellow + keyword whole dynamic yellow + keyword whole egcs yellow + keyword whole egi yellow + keyword whole eject yellow + keyword whole else yellow + keyword whole emi yellow + keyword whole empty yellow + keyword whole enable yellow + keyword whole end-add yellow + keyword whole end-call yellow + keyword whole end-ch yellow + keyword whole end-class yellow + keyword whole end-compute yellow + keyword whole end*delegate. yellow + keyword whole end-delegate yellow + keyword whole end-disable yellow + keyword whole end-display yellow + keyword whole end-divide yellow + keyword whole end-enable yellow + keyword whole end-enum yellow + keyword whole end-evaluate yellow + keyword whole end-if yellow + keyword whole ending yellow + keyword whole end-invoke yellow + keyword whole end-multiply yellow + keyword whole end-of-page yellow + keyword whole end-perform yellow + keyword whole end-receive yellow + keyword whole end-return yellow + keyword whole end-send yellow + keyword whole end-start yellow + keyword whole end-string yellow + keyword whole end-subtract yellow + keyword whole endter yellow + keyword whole end-transceive yellow + keyword whole end-unstring yellow + keyword whole end yellow + keyword whole enter yellow + keyword whole entry yellow + keyword whole enum*id yellow + keyword whole enum-id yellow + keyword whole enum yellow + keyword whole eop yellow + keyword whole equals yellow + keyword whole equal yellow + keyword whole erase yellow + keyword whole error yellow + keyword whole esi yellow + keyword whole evaluate yellow + keyword whole every yellow + keyword whole exact yellow + keyword whole examine yellow + keyword whole exceeds yellow + keyword whole exception-object yellow + keyword whole exception yellow + keyword whole exclusive yellow + keyword whole exceeds yellow + keyword whole exist yellow + keyword whole exit yellow + keyword whole extend yellow + keyword whole external yellow + keyword whole factory yellow + keyword whole false yellow + keyword whole fd yellow + keyword whole file-control. yellow + keyword whole file-limits yellow + keyword whole files yellow + keyword whole file yellow + keyword whole final yellow + keyword whole find yellow + keyword whole finish yellow + keyword whole first yellow + keyword whole footing yellow + keyword whole format yellow + keyword whole form yellow + keyword whole free yellow + keyword whole from yellow + keyword whole function yellow + keyword whole f yellow + keyword whole f. yellow + keyword whole generate yellow + keyword whole get yellow + keyword whole giving yellow + keyword whole global yellow + keyword whole goback yellow + keyword whole goback. yellow + keyword whole go yellow + keyword whole greater yellow + keyword whole group yellow + keyword whole having yellow + keyword whole heading yellow + keyword whole high-values yellow + keyword whole high*value yellow + keyword whole high-value yellow + keyword whole id yellow + keyword whole if yellow + keyword whole include yellow + keyword whole indexed yellow + keyword whole index yellow + keyword whole indicate yellow + keyword whole inherits yellow + keyword whole initialize yellow + keyword whole initial yellow + keyword whole initiate yellow + keyword whole input-output yellow + keyword whole input yellow + keyword whole inspect yellow + keyword whole installation yellow + keyword whole interface-id yellow + keyword whole interface yellow + keyword whole into yellow + keyword whole invalid yellow + keyword whole invoke yellow + keyword whole in yellow + keyword whole i-o-control. yellow + keyword whole i-o yellow + keyword whole is yellow + keyword whole item yellow + keyword whole justified yellow + keyword whole just yellow + keyword whole kanji yellow + keyword whole keep yellow + keyword whole key yellow + keyword whole label yellow + keyword whole last yellow + keyword whole ld yellow + keyword whole leading yellow + keyword whole left yellow + keyword whole lenght yellow + keyword whole less yellow + keyword whole like yellow + keyword whole limits yellow + keyword whole limit yellow + keyword whole linage*counter yellow + keyword whole linage-counter yellow + keyword whole linage yellow + keyword whole line*counter yellow + keyword whole line-counter yellow + keyword whole lines yellow + keyword whole line yellow + keyword whole linkage yellow + keyword whole locally yellow + keyword whole local-storage yellow + keyword whole lock yellow + keyword whole low-values yellow + keyword whole low-value yellow + keyword whole ls-area yellow + keyword whole member yellow + keyword whole memory yellow + keyword whole merge yellow + keyword whole message yellow + keyword whole metaclass yellow + keyword whole method-id yellow + keyword whole method yellow + keyword whole mode yellow + keyword whole mode- yellow + keyword whole modify yellow + keyword whole modules yellow + keyword whole more-labels yellow + keyword whole more yellow + keyword whole move yellow + keyword whole multiple yellow + keyword whole multiply yellow + keyword whole native yellow + keyword whole negative yellow + keyword whole next yellow + keyword whole normal yellow + keyword whole not yellow + keyword whole no yellow + keyword whole nulls yellow + keyword whole null yellow + keyword whole number yellow + keyword whole numeric-edited yellow + keyword whole numeric yellow + keyword whole object-computer. yellow + keyword whole object yellow + keyword whole occurs yellow + keyword whole off yellow + keyword whole of yellow + keyword whole omitted yellow + keyword whole only yellow + keyword whole on yellow + keyword whole optional yellow + keyword whole order yellow + keyword whole organisation yellow + keyword whole or yellow + keyword whole other yellow + keyword whole output yellow + keyword whole overflow yellow + keyword whole override yellow + keyword whole owner yellow + keyword whole packed*decimal yellow + keyword whole packed-decimal yellow + keyword whole padding yellow + keyword whole page-counter yellow + keyword whole page yellow + keyword whole password yellow + keyword whole perform yellow + keyword whole pf yellow + keyword whole ph yellow + keyword whole picture yellow + keyword whole pic yellow + keyword whole plus yellow + keyword whole pointer yellow + keyword whole positioning yellow + keyword whole position yellow + keyword whole positive yellow + keyword whole present yellow + keyword whole previous yellow + keyword whole printing yellow + keyword whole prior yellow + keyword whole private yellow + keyword whole procedure-pointer yellow + keyword whole procedures yellow + keyword whole proceed yellow + keyword whole processing yellow + keyword whole process yellow + keyword whole program-id. yellow + keyword whole program yellow + keyword whole program. yellow + keyword whole property yellow + keyword whole protected yellow + keyword whole prototype yellow + keyword whole public yellow + keyword whole purge yellow + keyword whole queue yellow + keyword whole quotes yellow + keyword whole quote yellow + keyword whole raise yellow + keyword whole raising yellow + keyword whole random yellow + keyword whole range yellow + keyword whole rd yellow + keyword whole ready yellow + keyword whole realm yellow + keyword whole receive yellow + keyword whole reconnect yellow + keyword whole recording yellow + keyword whole record-name yellow + keyword whole records yellow + keyword whole record yellow + keyword whole recursive yellow + keyword whole redefines yellow + keyword whole reel yellow + keyword whole references yellow + keyword whole reference yellow + keyword whole relation yellow + keyword whole relative yellow + keyword whole release yellow + keyword whole reload yellow + keyword whole remainder yellow + keyword whole remarks yellow + keyword whole removal yellow + keyword whole renames yellow + keyword whole repeated yellow + keyword whole replace yellow + keyword whole replacing yellow + keyword whole reporting yellow + keyword whole reports yellow + keyword whole report yellow + keyword whole repository yellow + keyword whole rerun yellow + keyword whole reserve yellow + keyword whole reset yellow + keyword whole retaining yellow + keyword whole retrieval yellow + keyword whole return-code yellow + keyword whole returning yellow + keyword whole return yellow + keyword whole reversed yellow + keyword whole rewind yellow + keyword whole rf yellow + keyword whole rh yellow + keyword whole right yellow + keyword whole rounded yellow + keyword whole run yellow + keyword whole run. yellow + keyword whole same yellow + keyword whole screen yellow + keyword whole sd yellow + keyword whole search yellow + keyword whole section. yellow + keyword whole security yellow + keyword whole segment-limit yellow + keyword whole segment yellow + keyword whole self yellow + keyword whole send yellow + keyword whole sentence yellow + keyword whole separate yellow + keyword whole sequence yellow + keyword whole sequential yellow + keyword whole service yellow + keyword whole session-id yellow + keyword whole session yellow + keyword whole set yellow + keyword whole shared yellow + keyword whole shift-in yellow + keyword whole shift-out yellow + keyword whole signed yellow + keyword whole sign yellow + keyword whole size yellow + keyword whole skip yellow + keyword whole sort-control yellow + keyword whole sort-core-size yellow + keyword whole sort-file-size yellow + keyword whole sort-merge yellow + keyword whole sort-message yellow + keyword whole sort-mode-size yellow + keyword whole sort-return yellow + keyword whole sort-status yellow + keyword whole sort yellow + keyword whole source-computer. yellow + keyword whole source yellow + keyword whole spaces yellow + keyword whole space yellow + keyword whole special-names. yellow + keyword whole standard yellow + keyword whole standard- yellow + keyword whole start yellow + keyword whole static yellow + keyword whole status yellow + keyword whole stop yellow + keyword whole storage yellow + keyword whole store yellow + keyword whole string yellow + keyword whole sub-queue- yellow + keyword whole sub-schema yellow + keyword whole subtract yellow + keyword whole suffix yellow + keyword whole sum yellow + keyword whole super yellow + keyword whole suppress yellow + keyword whole symbolic yellow + keyword whole synchronized yellow + keyword whole sync yellow + keyword whole table yellow + keyword whole tallying yellow + keyword whole tally yellow + keyword whole tape yellow + keyword whole tenant yellow + keyword whole terminal yellow + keyword whole terminate yellow + keyword whole test yellow + keyword whole text yellow + keyword whole than yellow + keyword whole then yellow + keyword whole through yellow + keyword whole thru yellow + keyword whole timeout yellow + keyword whole times yellow + keyword whole time yellow + keyword whole title yellow + keyword whole top yellow + keyword whole to yellow + keyword whole trace yellow + keyword whole trailing yellow + keyword whole transceive yellow + keyword whole true yellow + keyword whole typedef yellow + keyword whole type yellow + keyword whole unequal yellow + keyword whole unit yellow + keyword whole unlock yellow + keyword whole unsigned yellow + keyword whole unstring yellow + keyword whole until yellow + keyword whole upon yellow + keyword whole up yellow + keyword whole usage-mode yellow + keyword whole usage yellow + keyword whole use yellow + keyword whole using yellow + keyword whole validate yellow + keyword whole valid yellow + keyword whole values yellow + keyword whole value yellow + keyword whole varying yellow + keyword whole wait yellow + keyword whole when-compiled yellow + keyword whole whenever yellow + keyword whole when yellow + keyword whole where yellow + keyword whole within yellow + keyword whole with yellow + keyword whole words yellow + keyword whole working-storage yellow + keyword whole write-only yellow + keyword whole zeroes yellow + keyword whole zeros yellow + keyword whole zero yellow + + keyword whole environment*division. cyan + keyword whole data*division. cyan + keyword whole program cyan + keyword whole procedure*division cyan + keyword whole procedure*division. cyan + keyword whole identification*division. cyan + keyword whole section cyan + keyword whole paragraph cyan + keyword whole end-program cyan + keyword whole end*program. cyan + + keyword whole s9 brightgreen + keyword whole v9 brightgreen + + keyword whole close brightred + keyword whole commit brightred + keyword whole connect brightred + keyword whole declare brightred + keyword whole delete brightred + keyword whole disconnect brightred + keyword whole end-delete brightred + keyword whole end-exec brightred + keyword whole end-read brightred + keyword whole end-rewrite brightred + keyword whole end-write brightred + keyword whole exec brightred + keyword whole execute brightred + keyword whole execute*immediate. brightred + keyword whole fetch brightred + keyword whole for brightred + keyword whole insert brightred + keyword whole open brightred + keyword whole phase brightred + keyword whole prepare brightred + keyword whole read brightred + keyword whole rewrite brightred + keyword whole rollback brightred + keyword whole select brightred + keyword whole sql brightred + keyword whole update brightred + keyword whole work brightred + keyword whole write brightred + +wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_ + +context \* \n brown + spellcheck + +context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]EOF EOF green +context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]END END green + +context ' ' green + +context " " green + keyword \\* brightgreen + keyword \\@ brightgreen + keyword \\$ brightgreen + keyword \\\\ brightgreen + keyword \\` brightgreen + keyword \\" brightgreen + keyword $(*) brightgreen + keyword ${*} brightgreen + keyword $\* brightred + keyword $@ brightred + keyword $# brightred + keyword $? brightred + keyword $- brightred + keyword $$ brightred + keyword $! brightred + keyword $_ brightred + keyword wholeright $\[0123456789\] brightred + + keyword wholeright $+ brightgreen + + keyword $ brightgreen + +context exclusive ` ` lightgray black + keyword '*' green + keyword " green + keyword \\` green + keyword ; brightcyan + keyword $(*) brightgreen + keyword ${*} brightgreen + keyword { brightcyan + keyword } brightcyan + + keyword $\* brightred + keyword $@ brightred + keyword $# brightred + keyword $? brightred + keyword $- brightred + keyword $$ brightred + keyword $! brightred + keyword $_ brightred + + keyword wholeright $\[0123456789\] brightred + + keyword wholeright $+ brightgreen + + keyword $ brightgreen + +wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._ + diff --git a/misc/syntax/cs.syntax b/misc/syntax/cs.syntax new file mode 100644 index 0000000..4164100 --- /dev/null +++ b/misc/syntax/cs.syntax @@ -0,0 +1,149 @@ +# Modified from C highlight file by Juan C. Olivares + +context default + + keyword whole abstract yellow + keyword whole as yellow + keyword whole base yellow + keyword whole bool yellow + keyword whole break yellow + keyword whole byte yellow + keyword whole case yellow + keyword whole catch yellow + keyword whole char yellow + keyword whole checked yellow + keyword whole class white + keyword whole const yellow + keyword whole continue yellow + keyword whole decimal yellow + keyword whole default yellow + keyword whole delegate white + keyword whole do yellow + keyword whole double yellow + keyword whole else yellow + keyword whole enum white + keyword whole event yellow + keyword whole explicit yellow + keyword whole extern yellow + keyword whole false yellow + keyword whole finally yellow + keyword whole fixed yellow + keyword whole float yellow + keyword whole for yellow + keyword whole foreach yellow + keyword whole get brightgreen + keyword whole goto yellow + keyword whole if yellow + keyword whole implicit yellow + keyword whole in yellow + keyword whole int yellow + keyword whole interface white + keyword whole internal brightred + keyword whole is yellow + keyword whole lock yellow + keyword whole long yellow + keyword whole namespace white + keyword whole new yellow + keyword whole null yellow + keyword whole object yellow + keyword whole operator yellow + keyword whole out yellow + keyword whole override yellow + keyword whole params yellow + keyword whole partial yellow + keyword whole private brightred + keyword whole protected yellow + keyword whole public brightred + keyword whole readonly yellow + keyword whole ref yellow + keyword whole return yellow + keyword whole sbyte yellow + keyword whole sealed yellow + keyword whole set brightgreen + keyword whole short yellow + keyword whole sizeof yellow + keyword whole stackalloc yellow + keyword whole static yellow + keyword whole string yellow + keyword whole struct white + keyword whole switch yellow + keyword whole this yellow + keyword whole throw yellow + keyword whole true yellow + keyword whole try yellow + keyword whole typeof yellow + keyword whole uint yellow + keyword whole ulong yellow + keyword whole unchecked yellow + keyword whole unsafe yellow + keyword whole ushort yellow + keyword whole using brightcyan + keyword whole value yellow + keyword whole virtual yellow + keyword whole void yellow + keyword whole volatile yellow + keyword whole where yellow + keyword whole while yellow + keyword whole yield yellow + + + keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta + + keyword /\* brown + keyword \*/ brown + keyword // brown + + keyword '\\\{"abtnvfr\}' brightgreen + keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen + keyword '\\'' brightgreen + keyword '\\\\' brightgreen + keyword '\\0' brightgreen + keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~¡¢£¤¥¦§¨©ª«¬­®¯°±²³´µ¶·¸¹º»¼½¾¿ÀÁÂÃÄÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâãäåæçèéêëìíîïðñòóôõö÷øùúûüýþÿ\}' brightgreen + + keyword > yellow + keyword < yellow + keyword \+ yellow + keyword - yellow + keyword \* yellow + keyword / yellow + keyword % yellow + keyword = yellow + keyword != yellow + keyword == yellow + keyword { brightcyan + keyword } brightcyan + keyword ( brightcyan + keyword ) brightcyan + keyword [ brightcyan + keyword ] brightcyan + keyword , brightcyan + keyword : brightcyan + keyword ? brightcyan + keyword ; brightmagenta + +context exclusive /\* \*/ brown + spellcheck + +context exclusive // \n brown + spellcheck + +context linestart # \n brightred + keyword \\\n yellow + keyword /\**\*/ brown + keyword "+" red + +context " " green + spellcheck + keyword \\" brightgreen + keyword %% brightgreen + keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen + keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen + keyword %\[hl\]n brightgreen + keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen + keyword %[*] brightgreen + keyword %c brightgreen + keyword %p brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{abtnvfr\} brightgreen diff --git a/misc/syntax/css.syntax b/misc/syntax/css.syntax new file mode 100644 index 0000000..718c88b --- /dev/null +++ b/misc/syntax/css.syntax @@ -0,0 +1,550 @@ +# Updated by Mikhail S. Pobolovets on 2010.09.12 +context default +# -moz-... + keyword whole \-\{ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\}\[\-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] lightgray +# class + keyword whole \.\{ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\}\[\-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] green + + keyword whole body white + keyword whole div white + keyword whole p white + keyword whole a white + keyword whole h1 white + keyword whole h2 white + keyword whole h3 white + keyword whole h4 white + keyword whole h5 white + keyword whole h6 white + keyword whole 'font' white + keyword whole br white + keyword whole table white + keyword whole td white + keyword whole th white + keyword whole tr white + keyword whole u white + keyword whole i white + keyword whole b white + keyword whole address white + keyword whole big white + keyword whole small white + keyword whole sub white + keyword whole sup white + keyword whole img white + keyword whole form white + keyword whole textarea white + keyword whole input white + keyword whole select white + keyword whole option white + keyword whole optgroup white + + + keyword \:\:add-line white + keyword \:\:add-page white + keyword \:\:branch white + keyword \:\:chunk white + keyword \:\:close-button white + keyword \:\:down-arrow white + keyword \:\:down-button white + keyword \:\:drop-down white + keyword \:\:float-button white + keyword \:\:groove white + keyword \:\:indicator white + keyword \:\:handle white + keyword \:\:icon white + keyword \:\:item white + keyword \:\:left-arrow white + keyword \:\:left-corner white + keyword \:\:menu-arrow white + keyword \:\:menu-button white + keyword \:\:menu-indicator white + keyword \:\:right-arrow white + keyword \:\:pane white + keyword \:\:right-corner white + keyword \:\:scroller white + keyword \:\:section white + keyword \:\:separator white + keyword \:\:sub-line white + keyword \:\:sub-page white + keyword \:\:tab white + keyword \:\:tab-bar white + keyword \:\:tear white + keyword \:\:tear-off white + keyword \:\:text white + keyword \:\:title white + keyword \:\:up-arrow white + keyword \:\:up-button white + + keyword \:active brightmagenta + keyword \:after brightmagenta + keyword \:visited brightmagenta + keyword \:before brightmagenta + keyword \:first-child brightmagenta + keyword \:first-letter brightmagenta + keyword \:first-line brightmagenta + keyword \:focus brightmagenta + keyword \:lang brightmagenta + keyword \:link brightmagenta + keyword \:adjoins-item brightmagenta + keyword \:alternate brightmagenta + keyword \:bottom brightmagenta + keyword \:checked brightmagenta + keyword \:closable brightmagenta + keyword \:closed brightmagenta + keyword \:default brightmagenta + keyword \:disabled brightmagenta + keyword \:editable brightmagenta + keyword \:edit-focus brightmagenta + keyword \:enabled brightmagenta + keyword \:exclusive brightmagenta + keyword \:first brightmagenta + keyword \:flat brightmagenta + keyword \:floatable brightmagenta + keyword \:has-children brightmagenta + keyword \:has-siblings brightmagenta + keyword \:horizontal brightmagenta + keyword \:hover brightmagenta + keyword \:indeterminate brightmagenta + keyword \:last brightmagenta + keyword \:left brightmagenta + keyword \:maximized brightmagenta + keyword \:middle brightmagenta + keyword \:minimized brightmagenta + keyword \:movable brightmagenta + keyword \:no-frame brightmagenta + keyword \:non-exclusive brightmagenta + keyword \:off brightmagenta + keyword \:on brightmagenta + keyword \:only-one brightmagenta + keyword \:open brightmagenta + keyword \:next-selected brightmagenta + keyword \:pressed brightmagenta + keyword \:previous-selected brightmagenta + keyword \:read-only brightmagenta + keyword \:right brightmagenta + keyword \:selected brightmagenta + keyword \:top brightmagenta + keyword \:unchecked brightmagenta + keyword \:vertical brightmagenta + keyword \:window brightmagenta + + keyword whole \!important brightred + + keyword whole background-attachment lightgray + keyword whole background-color lightgray + keyword whole background-image lightgray + keyword whole background-position lightgray + keyword whole background-repeat lightgray + keyword whole background lightgray + keyword whole bidi-override lightgray + keyword whole border-bottom lightgray + keyword whole border-bottom-color lightgray + keyword whole border-bottom-style lightgray + keyword whole border-bottom-width lightgray + keyword whole border-collapse lightgray + keyword whole border-color lightgray + keyword whole border-left lightgray + keyword whole border-left-color lightgray + keyword whole border-left-style lightgray + keyword whole border-left-width lightgray + keyword whole border-right lightgray + keyword whole border-right-color lightgray + keyword whole border-right-style lightgray + keyword whole border-right-width lightgray + keyword whole border-spacing lightgray + keyword whole border-style lightgray + keyword whole border-top lightgray + keyword whole border-top-color lightgray + keyword whole border-top-style lightgray + keyword whole border-top-width lightgray + keyword whole border-width lightgray + keyword whole border lightgray + keyword whole bottom lightgray + keyword whole caption-side lightgray + keyword whole clear lightgray + keyword whole clip lightgray + keyword whole color lightgray + keyword whole content lightgray + keyword whole counter-increment lightgray + keyword whole cursor lightgray + keyword whole direction lightgray + keyword whole display lightgray + keyword whole empty-cells lightgray + keyword whole fixed lightgray + keyword whole float lightgray + keyword whole font-size-adjust lightgray + keyword whole font-stretch lightgray + keyword whole font-family lightgray + keyword whole font-size lightgray + keyword whole font-style lightgray + keyword whole font-variant lightgray + keyword whole font-weight lightgray + keyword whole font lightgray + keyword whole generic-family lightgray + keyword whole height lightgray + keyword whole identifier lightgray + keyword whole image lightgray + keyword whole justify lightgray + keyword whole left lightgray + keyword whole length lightgray + keyword whole letter-spacing lightgray + keyword whole line-height lightgray + keyword whole list-style-image lightgray + keyword whole list-style-position lightgray + keyword whole list-style-type lightgray + keyword whole list-style lightgray + keyword whole margin-left lightgray + keyword whole margin-top lightgray + keyword whole margin lightgray + keyword whole marker-offset lightgray + keyword whole max-height lightgray + keyword whole max-width lightgray + keyword whole min-height lightgray + keyword whole min-width lightgray + keyword whole nowrap lightgray + keyword whole outline-color lightgray + keyword whole outline-style lightgray + keyword whole outline-width lightgray + keyword whole outline lightgray + keyword whole padding-left lightgray + keyword whole padding-top lightgray + keyword whole padding lightgray + keyword whole position lightgray + keyword whole quotes lightgray + keyword whole right lightgray + keyword whole subcontrol-origin lightgray + keyword whole subcontrol-position lightgray + keyword whole table-layout lightgray + keyword whole text-align lightgray + keyword whole text-decoration lightgray + keyword whole text-indent lightgray + keyword whole text-shadow lightgray + keyword whole text-transform lightgray + keyword whole top lightgray + keyword whole unicode-bidi lightgray + keyword whole vertical-align lightgray + keyword whole visibility lightgray + keyword whole white-space lightgray + keyword whole width lightgray + keyword whole word-spacing lightgray + keyword whole opacity lightgray + keyword whole filter lightgray + + keyword whole qconicalgradient brightgreen + keyword whole qlineargradient brightgreen + keyword whole qradialgradient brightgreen + + keyword whole center brightgreen + keyword whole bold brightgreen + keyword whole smaller brightgreen + keyword whole italic brightgreen + keyword whole bolder brightgreen + keyword whole underline brightgreen + keyword whole absolute brightgreen + + keyword whole none brightgreen + keyword whole auto brightgreen + keyword whole blink brightgreen + keyword whole hide brightgreen + + keyword whole thin brightgreen + keyword whole medium brightgreen + keyword whole thick brightgreen + + + keyword whole disc brightgreen + keyword whole circle brightgreen + keyword whole square brightgreen + keyword whole decimal brightgreen + keyword whole decimal-leading-zero brightgreen + keyword whole lower-roman brightgreen + keyword whole upper-roman brightgreen + keyword whole lower-alpha brightgreen + keyword whole upper-alpha brightgreen + keyword whole lower-greek brightgreen + keyword whole lower-latin brightgreen + keyword whole upper-latin brightgreen + keyword whole hebrew brightgreen + keyword whole armenian brightgreen + keyword whole georgian brightgreen + keyword whole cjk-ideographic brightgreen + keyword whole hiragana brightgreen + keyword whole katakana brightgreen + keyword whole hiragana-iroha brightgreen + keyword whole katakana-iroha brightgreen + + keyword whole invert brightgreen + keyword whole oblique brightgreen + keyword whole no-close-quote brightgreen + keyword whole repeat-x brightgreen + keyword whole repeat-y brightgreen + keyword whole repeat brightgreen + keyword whole no-repeat brightgreen + keyword whole small-caps brightgreen + keyword whole transparent brightgreen + + keyword whole visible brightgreen + keyword whole hidden brightgreen + keyword whole dotted brightgreen + + keyword whole dashed brightgreen + keyword whole solid brightgreen + keyword whole double brightgreen + keyword whole groove brightgreen + keyword whole ridge brightgreen + keyword whole inset brightgreen + keyword whole outset brightgreen + + keyword whole crosshair brightgreen + keyword whole default brightgreen + keyword whole pointer brightgreen + keyword whole move brightgreen + keyword whole e-resize brightgreen + keyword whole ne-resize brightgreen + keyword whole nw-resize brightgreen + keyword whole n-resize brightgreen + keyword whole se-resize brightgreen + keyword whole sw-resize brightgreen + keyword whole s-resize brightgreen + keyword whole w-resize brightgreen + keyword whole text brightgreen + keyword whole wait brightgreen + keyword whole help brightgreen + + keyword whole inline brightgreen + keyword whole block brightgreen + keyword whole list-item brightgreen + keyword whole run-in brightgreen + keyword whole compact brightgreen + keyword whole marker brightgreen + keyword whole inline-table brightgreen + keyword whole table-row-group brightgreen + keyword whole table-header-group brightgreen + keyword whole table-footer-group brightgreen + keyword whole table-row brightgreen + keyword whole table-column-group brightgreen + keyword whole table-column brightgreen + keyword whole table-cell brightgreen + keyword whole table-caption brightgreen + + keyword whole large brightgreen + + keyword whole normal brightgreen + keyword whole lighter brightgreen + + keyword whole open-quote brightgreen + keyword whole close-quote brightgreen + keyword whole no-open-quote brightgreen + + keyword whole baseline brightgreen + keyword whole super brightgreen + keyword whole text-top brightgreen + keyword whole middle brightgreen + keyword whole text-bottom brightgreen + + keyword whole collapse brightgreen + keyword whole separate brightgreen + + keyword whole capitalize brightgreen + keyword whole uppercase brightgreen + keyword whole lowercase brightgreen + + keyword whole pre brightgreen + + keyword whole aliceblue brightgreen + keyword whole antiquewhite brightgreen + keyword whole aqua brightgreen + keyword whole aquamarine brightgreen + keyword whole azure brightgreen + keyword whole beige brightgreen + keyword whole bisque brightgreen + keyword whole black brightgreen + keyword whole blanchedalmond brightgreen + keyword whole blue brightgreen + keyword whole blueviolet brightgreen + keyword whole brown brightgreen + keyword whole burlywood brightgreen + keyword whole cadetblue brightgreen + keyword whole chartreuse brightgreen + keyword whole chocolate brightgreen + keyword whole coral brightgreen + keyword whole cornflowerblue brightgreen + keyword whole cornsilk brightgreen + keyword whole crimson brightgreen + keyword whole cyan brightgreen + keyword whole darkblue brightgreen + keyword whole darkcyan brightgreen + keyword whole darkgoldenrod brightgreen + keyword whole darkgray brightgreen + keyword whole darkgreen brightgreen + keyword whole darkkhaki brightgreen + keyword whole darkmagenta brightgreen + keyword whole darkolivegreen brightgreen + keyword whole darkorange brightgreen + keyword whole darkorchid brightgreen + keyword whole darkred brightgreen + keyword whole darksalmon brightgreen + keyword whole darkseagreen brightgreen + keyword whole darkslateblue brightgreen + keyword whole darkslategray brightgreen + keyword whole darkturquoise brightgreen + keyword whole darkviolet brightgreen + keyword whole deeppink brightgreen + keyword whole deepskyblue brightgreen + keyword whole dimgray brightgreen + keyword whole dodgerblue brightgreen + keyword whole feldspar brightgreen + keyword whole firebrick brightgreen + keyword whole floralwhite brightgreen + keyword whole forestgreen brightgreen + keyword whole fuchsia brightgreen + keyword whole gainsboro brightgreen + keyword whole ghostwhite brightgreen + keyword whole gold brightgreen + keyword whole goldenrod brightgreen + keyword whole gray brightgreen + keyword whole green brightgreen + keyword whole greenyellow brightgreen + keyword whole honeydew brightgreen + keyword whole hotpink brightgreen + keyword whole indianred brightgreen + keyword whole indigo brightgreen + keyword whole ivory brightgreen + keyword whole khaki brightgreen + keyword whole lavender brightgreen + keyword whole lavenderblush brightgreen + keyword whole lawngreen brightgreen + keyword whole lemonchiffon brightgreen + keyword whole lightblue brightgreen + keyword whole lightcoral brightgreen + keyword whole lightcyan brightgreen + keyword whole lightgoldenrodyellow brightgreen + keyword whole lightgray brightgreen + keyword whole lightgreen brightgreen + keyword whole lightpink brightgreen + keyword whole lightsalmon brightgreen + keyword whole lightseagreen brightgreen + keyword whole lightskyblue brightgreen + keyword whole lightslateblue brightgreen + keyword whole lightslategray brightgreen + keyword whole lightsteelblue brightgreen + keyword whole lightyellow brightgreen + keyword whole lime brightgreen + keyword whole limegreen brightgreen + keyword whole linen brightgreen + keyword whole magenta brightgreen + keyword whole maroon brightgreen + keyword whole mediumaquamarine brightgreen + keyword whole mediumblue brightgreen + keyword whole mediumorchid brightgreen + keyword whole mediumpurple brightgreen + keyword whole mediumseagreen brightgreen + keyword whole mediumslateblue brightgreen + keyword whole mediumspringgreen brightgreen + keyword whole mediumturquoise brightgreen + keyword whole mediumvioletred brightgreen + keyword whole midnightblue brightgreen + keyword whole mintcream brightgreen + keyword whole mistyrose brightgreen + keyword whole moccasin brightgreen + keyword whole navajowhite brightgreen + keyword whole navy brightgreen + keyword whole oldlace brightgreen + keyword whole olive brightgreen + keyword whole olivedrab brightgreen + keyword whole orange brightgreen + keyword whole orangered brightgreen + keyword whole orchid brightgreen + keyword whole palegoldenrod brightgreen + keyword whole palegreen brightgreen + keyword whole paleturquoise brightgreen + keyword whole palevioletred brightgreen + keyword whole papayawhip brightgreen + keyword whole peachpuff brightgreen + keyword whole peru brightgreen + keyword whole pink brightgreen + keyword whole plum brightgreen + keyword whole powderblue brightgreen + keyword whole purple brightgreen + keyword whole red brightgreen + keyword whole rosybrown brightgreen + keyword whole royalblue brightgreen + keyword whole saddlebrown brightgreen + keyword whole salmon brightgreen + keyword whole sandybrown brightgreen + keyword whole seagreen brightgreen + keyword whole seashell brightgreen + keyword whole sienna brightgreen + keyword whole silver brightgreen + keyword whole skyblue brightgreen + keyword whole slateblue brightgreen + keyword whole slategray brightgreen + keyword whole snow brightgreen + keyword whole springgreen brightgreen + keyword whole steelblue brightgreen + keyword whole tan brightgreen + keyword whole teal brightgreen + keyword whole thistle brightgreen + keyword whole tomato brightgreen + keyword whole turquoise brightgreen + keyword whole violet brightgreen + keyword whole violetred brightgreen + keyword whole wheat brightgreen + keyword whole white brightgreen + keyword whole whitesmoke brightgreen + keyword whole yellow brightgreen + keyword whole yellowgreen brightgreen + + keyword { yellow + keyword } yellow + + keyword /\* brown + keyword \*/ brown + + keyword whole Arial brightgreen + keyword whole arial brightgreen + keyword whole Narrow brightgreen + keyword whole narrow brightgreen + keyword whole Trebuchet\sMS brightgreen + keyword whole trebuchet\sms brightgreen + keyword whole verdana brightgreen + keyword whole Verdana brightgreen + keyword whole sans-serif brightgreen + keyword whole serif brightgreen + keyword whole tahoma brightgreen + keyword whole Tahoma brightgreen + keyword whole Helvetica brightgreen + keyword whole helvetica brightgreen + +# #1234BEAF + keyword #\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} red + + keyword whole \[\-\.0123456789\]px brightgreen + keyword whole \[\-\.0123456789\]em brightgreen + keyword whole \[\-\.0123456789\]cm brightgreen + keyword whole \[\-\.0123456789\]mm brightgreen + keyword whole \[\-\.0123456789\]ex brightgreen + keyword whole \[\-\.0123456789\]pt brightgreen + keyword whole \[\-\.0123456789\]pc brightgreen + keyword whole \[\-\.0123456789\]% brightred + + keyword whole \{0123456789\} brightgreen + keyword whole \.\[0123456789\] brightgreen + keyword whole \-\{0123456789\} brightgreen + + keyword whole '.' white + + keyword { brightcyan + keyword } brightcyan + keyword ( brightcyan + keyword ) brightcyan + keyword , brightcyan + keyword : brightcyan + keyword ; brightmagenta + +context exclusive /\* \*/ brown + spellcheck + +context exclusive counter( ) magenta +context exclusive counters( ) magenta +context exclusive rgb( ) magenta +context exclusive url( ) magenta diff --git a/misc/syntax/cuda.syntax b/misc/syntax/cuda.syntax new file mode 100644 index 0000000..96cc8be --- /dev/null +++ b/misc/syntax/cuda.syntax @@ -0,0 +1,159 @@ +# Cuda syntax file + +# Authors: +# Sergey Sharybin +# +# Based on cxx.syntax file with some extra keywords to be +# highlighted, + +context default + keyword whole auto yellow + keyword whole break yellow + keyword whole case yellow + keyword whole char yellow + keyword whole const yellow + keyword whole continue yellow + keyword whole default yellow + keyword whole do yellow + keyword whole double yellow + keyword whole else yellow + keyword whole enum yellow + keyword whole extern yellow + keyword whole float yellow + keyword whole for yellow + keyword whole goto yellow + keyword whole if yellow + keyword whole int yellow + keyword whole long yellow + keyword whole register yellow + keyword whole return yellow + keyword whole short yellow + keyword whole signed yellow + keyword whole sizeof yellow + keyword whole static yellow + keyword whole struct yellow + keyword whole switch yellow + keyword whole typedef yellow + keyword whole union yellow + keyword whole unsigned yellow + keyword whole void yellow + keyword whole volatile yellow + keyword whole while yellow + keyword whole asm yellow + keyword whole catch yellow + keyword whole class yellow + keyword whole friend yellow + keyword whole delete yellow + keyword whole inline yellow + keyword whole new yellow + keyword whole operator yellow + keyword whole private yellow + keyword whole protected yellow + keyword whole public yellow + keyword whole this yellow + keyword whole throw yellow + keyword whole template yellow + keyword whole try yellow + keyword whole virtual yellow + keyword whole bool yellow + keyword whole const_cast yellow + keyword whole dynamic_cast yellow + keyword whole explicit yellow + keyword whole false yellow + keyword whole mutable yellow + keyword whole namespace yellow + keyword whole reinterpret_cast yellow + keyword whole static_cast yellow + keyword whole true yellow + keyword whole typeid yellow + keyword whole typename yellow + keyword whole using yellow + keyword whole wchar_t yellow + keyword whole ... yellow + keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta + +# Function type qualifiers + keyword whole __device__ white + keyword whole __global__ white + keyword whole __host__ white + + keyword whole __noinline__ white + keyword whole __forceinline__ white + +# Variable type qualifiers + keyword whole __constant__ white + keyword whole __shared__ white + keyword whole __managed__ white + keyword whole __restrict__ white + +# Built-in variables + keyword whole threadIdx white + keyword whole blockIdx white + keyword whole blockDim white + keyword whole gridDim white + keyword whole warpSize white + +# Synchronization + keyword whole __syncthreads white + keyword whole __threadfence white + + keyword /\* brown + keyword \*/ brown + keyword // brown + + keyword '\\\{"abtnvfr\}' brightgreen + keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen + keyword '\\'' brightgreen + keyword '\\\\' brightgreen + keyword '\\0' brightgreen + keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~ ¡¢£¤¥¦§¨©ª«¬­®¯°±²³´µ¶·¸¹º»¼½¾¿ÀÁÂÃÄÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâãäåæçèéêëìíîïðñòóôõö÷øùúûüýþÿ\}' brightgreen + + keyword > yellow + keyword < yellow + keyword \+ yellow + keyword - yellow + keyword \* yellow + keyword / yellow + keyword % yellow + keyword = yellow + keyword != yellow + keyword == yellow + keyword { brightcyan + keyword } brightcyan + keyword ( brightcyan + keyword ) brightcyan + keyword [ brightcyan + keyword ] brightcyan + keyword , brightcyan + keyword : brightcyan + keyword ? brightcyan + keyword ; brightmagenta + +context exclusive /\* \*/ brown + spellcheck + +context exclusive // \n brown + spellcheck + +context linestart # \n brightred + keyword \\\n yellow + keyword /\**\*/ brown + keyword //*\n brown + keyword "+" red + keyword <+> red + +context " " green + spellcheck + keyword \\" brightgreen + keyword %% brightgreen + keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen + keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen + keyword %\[hl\]n brightgreen + keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen + keyword %[*] brightgreen + keyword %c brightgreen + keyword %p brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{abtnvfr\} brightgreen diff --git a/misc/syntax/cxx.syntax b/misc/syntax/cxx.syntax new file mode 100644 index 0000000..08cd444 --- /dev/null +++ b/misc/syntax/cxx.syntax @@ -0,0 +1,126 @@ +context default + keyword whole auto yellow + keyword whole break yellow + keyword whole case yellow + keyword whole char yellow + keyword whole const yellow + keyword whole continue yellow + keyword whole default yellow + keyword whole do yellow + keyword whole double yellow + keyword whole else yellow + keyword whole enum yellow + keyword whole extern yellow + keyword whole float yellow + keyword whole for yellow + keyword whole goto yellow + keyword whole if yellow + keyword whole int yellow + keyword whole long yellow + keyword whole register yellow + keyword whole return yellow + keyword whole short yellow + keyword whole signed yellow + keyword whole sizeof yellow + keyword whole static yellow + keyword whole struct yellow + keyword whole switch yellow + keyword whole typedef yellow + keyword whole union yellow + keyword whole unsigned yellow + keyword whole void yellow + keyword whole volatile yellow + keyword whole while yellow + keyword whole asm yellow + keyword whole catch yellow + keyword whole class yellow + keyword whole friend yellow + keyword whole delete yellow + keyword whole inline yellow + keyword whole new yellow + keyword whole operator yellow + keyword whole private yellow + keyword whole protected yellow + keyword whole public yellow + keyword whole this yellow + keyword whole throw yellow + keyword whole template yellow + keyword whole try yellow + keyword whole virtual yellow + keyword whole bool yellow + keyword whole const_cast yellow + keyword whole dynamic_cast yellow + keyword whole explicit yellow + keyword whole false yellow + keyword whole mutable yellow + keyword whole namespace yellow + keyword whole reinterpret_cast yellow + keyword whole static_cast yellow + keyword whole true yellow + keyword whole typeid yellow + keyword whole typename yellow + keyword whole using yellow + keyword whole wchar_t yellow + keyword whole ... yellow + keyword linestart \{\s\t\}\[\s\t\]#*\n brightmagenta + + keyword /\* brown + keyword \*/ brown + keyword // brown + + keyword '\\\{"abtnvfr\}' brightgreen + keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen + keyword '\\'' brightgreen + keyword '\\\\' brightgreen + keyword '\\0' brightgreen + keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~ ¡¢£¤¥¦§¨©ª«¬­®¯°±²³´µ¶·¸¹º»¼½¾¿ÀÁÂÃÄÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâãäåæçèéêëìíîïðñòóôõö÷øùúûüýþÿ\}' brightgreen + + keyword > yellow + keyword < yellow + keyword \+ yellow + keyword - yellow + keyword \* yellow + keyword / yellow + keyword % yellow + keyword = yellow + keyword != yellow + keyword == yellow + keyword { brightcyan + keyword } brightcyan + keyword ( brightcyan + keyword ) brightcyan + keyword [ brightcyan + keyword ] brightcyan + keyword , brightcyan + keyword : brightcyan + keyword ? brightcyan + keyword ; brightmagenta + +context exclusive /\* \*/ brown + spellcheck + +context exclusive // \n brown + spellcheck + +context linestart # \n brightred + keyword \\\n yellow + keyword /\**\*/ brown + keyword //*\n brown + keyword "+" red + keyword <+> red + +context " " green + spellcheck + keyword \\" brightgreen + keyword %% brightgreen + keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen + keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen + keyword %\[hl\]n brightgreen + keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen + keyword %[*] brightgreen + keyword %c brightgreen + keyword %p brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{abtnvfr\} brightgreen diff --git a/misc/syntax/cython.syntax b/misc/syntax/cython.syntax new file mode 100644 index 0000000..3604dad --- /dev/null +++ b/misc/syntax/cython.syntax @@ -0,0 +1,304 @@ +context default + keyword : brightred + keyword . white/Orange + keyword > yellow + keyword < yellow + keyword \+ yellow + keyword - yellow + keyword \* yellow + keyword / yellow + keyword % yellow + keyword = yellow + keyword != yellow + keyword == yellow + keyword { brightcyan + keyword } brightcyan + keyword ( brightcyan + keyword ) brightcyan + keyword [ brightcyan + keyword ] brightcyan + keyword , brightcyan + keyword ; brightmagenta + keyword whole self brightred + keyword whole and yellow + keyword whole as yellow + keyword whole assert yellow + keyword whole break yellow + keyword whole class yellow + keyword whole continue yellow + keyword whole def yellow + keyword whole del yellow + keyword whole elif yellow + keyword whole else yellow + keyword whole except? yellow + keyword whole except yellow + keyword whole exec yellow + keyword whole finally yellow + keyword whole for yellow + keyword whole from yellow + keyword whole global yellow + keyword whole if yellow + keyword whole import yellow + keyword whole in yellow + keyword whole is yellow + keyword whole lambda yellow + keyword whole not yellow + keyword whole or yellow + keyword whole pass yellow + keyword whole print yellow + keyword whole raise yellow + keyword whole return yellow + keyword whole try yellow + keyword whole while yellow + keyword whole with yellow + keyword whole yield yellow + keyword whole by yellow + keyword whole cdef yellow + keyword whole cimport yellow + keyword whole cpdef yellow + keyword whole ctypedef yellow + keyword whole enum yellow + keyword whole extern yellow + keyword whole public yellow + keyword whole sizeof yellow + keyword whole struct yellow + keyword whole union yellow + keyword whole DEF yellow + keyword whole IF yellow + keyword whole ELIF yellow + keyword whole ELSE yellow + + keyword whole abs brightcyan + keyword whole all brightcyan + keyword whole any brightcyan + keyword whole basestring brightcyan + keyword whole bin brightcyan + keyword whole bool brightcyan + keyword whole callable brightcyan + keyword whole chr brightcyan + keyword whole classmethod brightcyan + keyword whole cmp brightcyan + keyword whole coerce brightcyan + keyword whole compile brightcyan + keyword whole complex brightcyan + keyword whole delattr brightcyan + keyword whole dict brightcyan + keyword whole dir brightcyan + keyword whole divmod brightcyan + keyword whole enumerate brightcyan + keyword whole eval brightcyan + keyword whole execfile brightcyan + keyword whole file brightcyan + keyword whole filter brightcyan + keyword whole float brightcyan + keyword whole frozenset brightcyan + keyword whole getattr brightcyan + keyword whole globals brightcyan + keyword whole hasattr brightcyan + keyword whole hash brightcyan + keyword whole help brightcyan + keyword whole hex brightcyan + keyword whole id brightcyan + keyword whole input brightcyan + keyword whole int brightcyan + keyword whole isinstance brightcyan + keyword whole issubclass brightcyan + keyword whole iter brightcyan + keyword whole len brightcyan + keyword whole list brightcyan + keyword whole locals brightcyan + keyword whole long brightcyan + keyword whole map brightcyan + keyword whole max brightcyan + keyword whole min brightcyan + keyword whole next brightcyan + keyword whole object brightcyan + keyword whole oct brightcyan + keyword whole open brightcyan + keyword whole ord brightcyan + keyword whole pow brightcyan + keyword whole print brightcyan + keyword whole property brightcyan + keyword whole range brightcyan + keyword whole raw_input brightcyan + keyword whole reduce brightcyan + keyword whole reload brightcyan + keyword whole repr brightcyan + keyword whole reversed brightcyan + keyword whole round brightcyan + keyword whole setattr brightcyan + keyword whole slice brightcyan + keyword whole sorted brightcyan + keyword whole staticmethod brightcyan + keyword whole str brightcyan + keyword whole sum brightcyan + keyword whole super brightcyan + keyword whole tuple brightcyan + keyword whole type brightcyan + keyword whole unichr brightcyan + keyword whole unicode brightcyan + keyword whole vars brightcyan + keyword whole xrange brightcyan + keyword whole zip brightcyan + keyword whole NULL brightcyan + keyword whole void brightcyan + keyword whole bint brightcyan + keyword whole char brightcyan + keyword whole short brightcyan + keyword whole double brightcyan + keyword whole Py_ssize_t brightcyan + keyword whole size_t brightcyan + + keyword whole atof magenta + keyword whole atoi magenta + keyword whole atol magenta + keyword whole expandtabs magenta + keyword whole find magenta + keyword whole rfind magenta + keyword whole index magenta + keyword whole rindex magenta + keyword whole count magenta + keyword whole split magenta + keyword whole splitfields magenta + keyword whole join magenta + keyword whole joinfields magenta + keyword whole strip magenta + keyword whole lstrip magenta + keyword whole rstrip magenta + keyword whole swapcase magenta + keyword whole upper magenta + keyword whole lower magenta + keyword whole ljust magenta + keyword whole rjust magenta + keyword whole center magenta + keyword whole zfill magenta + + keyword whole include brightmagenta + keyword whole gil red + keyword whole nogil red + keyword whole readonly red + + keyword whole __init__ lightgray + keyword whole __del__ lightgray + keyword whole __repr__ lightgray + keyword whole __str__ lightgray + keyword whole __cmp__ lightgray + keyword whole __hash__ lightgray + keyword whole __call__ lightgray + keyword whole __getattr__ lightgray + keyword whole __setattr__ lightgray + keyword whole __delattr__ lightgray + keyword whole __len__ lightgray + keyword whole __getitem__ lightgray + keyword whole __setitem__ lightgray + keyword whole __delitem__ lightgray + keyword whole __getslice__ lightgray + keyword whole __setslice__ lightgray + keyword whole __delslice__ lightgray + keyword whole __add__ lightgray + keyword whole __sub__ lightgray + keyword whole __mul__ lightgray + keyword whole __div__ lightgray + keyword whole __mod__ lightgray + keyword whole __divmod__ lightgray + keyword whole __pow__ lightgray + keyword whole __lshift__ lightgray + keyword whole __rshift__ lightgray + keyword whole __and__ lightgray + keyword whole __xor__ lightgray + keyword whole __or__ lightgray + keyword whole __neg__ lightgray + keyword whole __pos__ lightgray + keyword whole __abs__ lightgray + keyword whole __invert__ lightgray + keyword whole __nonzero__ lightgray + keyword whole __coerce__ lightgray + keyword whole __int__ lightgray + keyword whole __long__ lightgray + keyword whole __float__ lightgray + keyword whole __oct__ lightgray + keyword whole __hex__ lightgray + + keyword whole __radd__ lightgray + keyword whole __rsub__ lightgray + keyword whole __rmul__ lightgray + keyword whole __rdiv__ lightgray + keyword whole __rmod__ lightgray + keyword whole __rdivmod__ lightgray + keyword whole __rpow__ lightgray + keyword whole __rlshift__ lightgray + keyword whole __rrshift__ lightgray + keyword whole __rand__ lightgray + keyword whole __rxor__ lightgray + keyword whole __ror__ lightgray + + keyword whole __+__ brightred + +context """ """ green + keyword \\" brightgreen + keyword \\\n brightgreen + keyword %% brightgreen + keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen + keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen + keyword %\[hl\]n brightgreen + keyword %\[.\]\[0123456789\]s brightgreen + keyword %[*] brightgreen + keyword %c brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\\{01234567\}\{01234567\} brightgreen + keyword \\\\{01234567\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{abtnvfr\} brightgreen + +context ''' ''' green + keyword \\" brightgreen + keyword \\\n brightgreen + keyword %% brightgreen + keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen + keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diu\} brightgreen + keyword %\[hl\]n brightgreen + keyword %\[.\]\[0123456789\]s brightgreen + keyword %[*] brightgreen + keyword %c brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\\{01234567\}\{01234567\} brightgreen + keyword \\\\{01234567\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{abtnvfr\} brightgreen + +context # \n brown + keyword coding\{=:\}\[\s\]\[-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] brightmagenta + keyword vim:fileencoding=\[-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] brightmagenta + keyword -\*-\[\s\]coding:\[\s\]\[-0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]\[\s\]-\*- brightmagenta + spellcheck + +context " " green + keyword \\" brightgreen + keyword %% brightgreen + keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen + keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diuxX\} brightgreen + keyword %\[hl\]n brightgreen + keyword %\[.\]\[0123456789\]s brightgreen + keyword %[*] brightgreen + keyword %c brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{abtnvfr\} brightgreen + +context ' ' green + keyword \\" brightgreen + keyword \\\n brightgreen + keyword %% brightgreen + keyword %\[#0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[L\]\{eEfgGoxX\} brightgreen + keyword %\[0\s-\+,\]\[0123456789\]\[.\]\[0123456789\]\[hl\]\{diuxX\} brightgreen + keyword %\[hl\]n brightgreen + keyword %\[.\]\[0123456789\]s brightgreen + keyword %[*] brightgreen + keyword %c brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{abtnvfr\} brightgreen diff --git a/misc/syntax/d.syntax b/misc/syntax/d.syntax new file mode 100644 index 0000000..3367576 --- /dev/null +++ b/misc/syntax/d.syntax @@ -0,0 +1,561 @@ +# ------------------------------- +# +# D programming language syntax highlighting +# for CoolEdit +# +# Homepage of D: http://www.digitalmars.com/d/ +# +# Author: Witold Baryluk < baryluk at smp if uj edu pl > +# Date: 23-08-2007 +# +# ------------------------------- + +context default + +# sh bang + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/dmd brightcyan black + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/dmd brightcyan black + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/dmd brightcyan black + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/dmd brightcyan black + keyword whole linestart #!\[\s\]/bin/dmd brightcyan black + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/dmd brightcyan black + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/dmd brightcyan black + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/dmd brightcyan black + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/dmd brightcyan black + keyword whole linestart #!\[\s\]/dmd brightcyan black + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/gdmd brightcyan black + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/gdmd brightcyan black + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/gdmd brightcyan black + keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/dmd brightcyan black + keyword whole linestart #!\[\s\]/gdmd brightcyan black + +# keywords + keyword whole abstract yellow + keyword whole alias yellow + keyword whole align yellow + keyword whole assert yellow + keyword whole body yellow + keyword whole bool yellow + keyword whole byte yellow + keyword whole cast yellow + keyword whole catch yellow + keyword whole cdouble yellow + keyword whole cent yellow + keyword whole cfloat yellow + keyword whole class yellow + keyword whole creal yellow + keyword whole dchar yellow + keyword whole debug yellow + keyword whole delegate yellow + keyword whole delete yellow + keyword whole deprecated yellow + keyword whole dstring yellow + keyword whole false brightred + keyword whole finally yellow + keyword whole final yellow + keyword whole foreach_reverse yellow + keyword whole function yellow + keyword whole idouble yellow + keyword whole ifloat yellow + keyword whole inout yellow + keyword whole interface yellow + keyword whole invariant yellow + keyword whole in yellow + keyword whole is yellow + keyword whole ireal yellow + keyword whole lazy yellow + keyword whole long yellow + keyword whole macro yellow + keyword whole mixin yellow + keyword whole module yellow + keyword whole new yellow + keyword whole null brightred + keyword whole out yellow + keyword whole override yellow + keyword whole package yellow + keyword whole pragma yellow + keyword whole private yellow + keyword whole protected yellow + keyword whole public yellow + keyword whole real yellow + keyword whole ref yellow + keyword whole scope yellow + keyword whole string yellow + keyword whole super brightred + keyword whole synchronized yellow + keyword whole template yellow + keyword whole this brightred + keyword whole throw yellow + keyword whole true brightred + keyword whole try yellow + keyword whole typedef yellow + keyword whole typeid yellow + keyword whole typeof yellow + keyword whole ubyte yellow + keyword whole ucent yellow + keyword whole ulong yellow + keyword whole unittest yellow + keyword whole ushort yellow + keyword whole version yellow + keyword whole volatile yellow + keyword whole wchar yellow + keyword whole with yellow + keyword whole wstring yellow + keyword whole asm yellow + keyword whole auto yellow + keyword whole break yellow + keyword whole case yellow + keyword whole char yellow + keyword whole const yellow + keyword whole continue yellow + keyword whole default yellow + keyword whole double yellow + keyword whole do yellow + keyword whole else yellow + keyword whole enum yellow + keyword whole export yellow + keyword whole extern yellow + keyword whole float yellow + keyword whole foreach yellow + keyword whole for yellow + keyword whole goto yellow + keyword whole if yellow + keyword whole import magenta + keyword whole inline yellow + keyword whole int yellow + keyword whole return yellow + keyword whole short yellow + keyword whole signed yellow + keyword whole sizeof yellow + keyword whole static yellow + keyword whole struct yellow + keyword whole switch yellow + keyword whole typedef yellow + keyword whole uint yellow + keyword whole union yellow + keyword whole unsigned yellow + keyword whole void yellow + keyword whole while yellow + +# predefined aliases (deprecated) + keyword whole bit yellow +# predefined aliases (2.0) + keyword whole string yellow + keyword whole dstring yellow + keyword whole wstring yellow + +# D array attributes + keyword wholeright .sizeof yellow + keyword wholeright .length yellow + keyword wholeright .ptr yellow + keyword wholeright .dup yellow + keyword wholeright .reverse yellow + keyword wholeright .sort yellow + keyword wholeright .keys yellow + keyword wholeright .values yellow + keyword wholeright .rehash yellow +# D types attributes + keyword wholeright .init yellow + keyword wholeright .alignof yellow + keyword wholeright .mangleof yellow + keyword wholeright .stringof yellow +# +integer + keyword wholeright .min yellow + keyword wholeright .max yellow +# +floating point + keyword wholeright .infinity yellow + keyword wholeright .nan yellow + keyword wholeright .dig yellow + keyword wholeright .epsilon yellow + keyword wholeright .mant_dig yellow + keyword wholeright .max_10_exp yellow + keyword wholeright .max_exp yellow + keyword wholeright .min_10_exp yellow + keyword wholeright .min_exp yellow + keyword wholeright .re yellow + keyword wholeright .im yellow + keyword wholeright .im yellow +# union + keyword wholeright .offsetof yellow + +# D 2.0 attributes + keyword wholeright .idup yellow + +# unary operators + keyword whole opNeg gray + keyword whole opPos gray + keyword whole opCom gray + keyword whole opPostInc gray + keyword whole opPostDec gray + keyword whole opCast gray + +# binary operators + keyword whole opAdd gray + keyword whole opAdd_r gray + keyword whole opSub gray + keyword whole opSub_r gray + keyword whole opMul gray + keyword whole opMul_r gray + keyword whole opDiv gray + keyword whole opDiv_r gray + keyword whole opMod gray + keyword whole opMod_r gray + keyword whole opAnd gray + keyword whole opAnd_r gray + keyword whole opOr gray + keyword whole opOr_r gray + keyword whole opXor gray + keyword whole opXor_r gray + keyword whole opShl gray + keyword whole opShl_r gray + keyword whole opShr gray + keyword whole opShr_r gray + keyword whole opUShr gray + keyword whole opUShr_r gray + keyword whole opCat gray + keyword whole opCat_r gray + keyword whole opEquals gray + keyword whole opCmp gray + keyword whole opAssign gray + keyword whole opAddAssign gray + keyword whole opSubAssign gray + keyword whole opMulAssign gray + keyword whole opDivAssign gray + keyword whole opModAssign gray + keyword whole opAndAssign gray + keyword whole opOrAssign gray + keyword whole opXorAssign gray + keyword whole opShlAssign gray + keyword whole opShrAssign gray + keyword whole opUShrAssign gray + keyword whole opCatAssign gray + keyword whole opIn gray + keyword whole opIn_r gray + +# special operators + keyword whole opCall gray + keyword whole opIndex gray + keyword whole opIndexAssign gray + keyword whole opSlice gray + keyword whole opSliceAssign gray + keyword whole opAssign gray + keyword whole opApply gray + + keyword whole ... yellow + keyword whole .. yellow +# keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta # C preprocesor + +# special objects + +# hidden argument of variadic functions + keyword whole _argptr brightred + keyword whole _arguments brightred + +# object + keyword whole Object brightmagenta + keyword whole Interface brightmagenta + keyword whole ClassInfo brightmagenta + keyword whole OffsetTypeInfo brightmagenta + keyword whole TypeInfo brightmagenta + keyword whole Exception brightmagenta + keyword whole Error brightmagenta + +# common functions + +# object methods + keyword whole toString cyan + keyword whole toHash cyan +# std.stdio + keyword whole writefln cyan + keyword whole writef cyan +# std.gc + keyword whole addRoot cyan + keyword whole removeRoot cyan + keyword whole addRange cyan + keyword whole hasPointers cyan + keyword whole hasNoPointers cyan + keyword whole setTypeInfo cyan + keyword whole malloc cyan + keyword whole realoc cyan + keyword whole extend cyan + keyword whole capacity cyan + keyword whole setV1_0 cyan + keyword whole fullCollect cyan + keyword whole genCollect cyan +# std.string + keyword whole iswhite cyan + keyword whole atoi cyan + keyword whole atof cyan + keyword whole toStringz cyan + keyword whole tolower cyan + keyword whole toupper cyan + keyword whole repeat cyan + keyword whole join cyan + keyword whole split cyan + keyword whole stripl cyan + keyword whole stripr cyan + keyword whole strip cyan + keyword whole chomp cyan + keyword whole chop cyan + keyword whole isNumeric cyan + keyword whole isEmail cyan + keyword whole isURL cyan +# std.math + keyword whole abs cyan + keyword whole conj cyan + keyword whole cos cyan + keyword whole sin cyan + keyword whole tan cyan + keyword whole acos cyan + keyword whole asin cyan + keyword whole atan cyan + keyword whole atan2 cyan + keyword whole cosh cyan + keyword whole sinh cyan + keyword whole tanh cyan + keyword whole acosh cyan + keyword whole asinh cyan + keyword whole atanh cyan + keyword whole rndtol cyan + keyword whole rndtonl cyan + keyword whole sqrt cyan + keyword whole exp cyan + keyword whole exp2 cyan + keyword whole expm1 cyan + keyword whole frexp cyan + keyword whole ilogb cyan + keyword whole ldexp cyan + keyword whole log cyan + keyword whole log10 cyan + keyword whole log1p cyan + keyword whole log2 cyan + keyword whole logb cyan + keyword whole modf cyan + keyword whole scalbn cyan + keyword whole cbrt cyan + keyword whole fabs cyan + keyword whole hypot cyan + keyword whole erf cyan + keyword whole erfc cyan + keyword whole lgamma cyan + keyword whole tgamma cyan + keyword whole ceil cyan + keyword whole floor cyan + keyword whole nearbyint cyan + keyword whole rint cyan + keyword whole lrint cyan + keyword whole round cyan + keyword whole lround cyan + keyword whole trunc cyan + keyword whole remainder cyan + keyword whole remquo cyan + keyword whole isnan cyan + keyword whole isfinite cyan + keyword whole isnormal cyan + keyword whole issubnormal cyan + keyword whole isinf cyan + keyword whole signbit cyan + keyword whole copysign cyan + keyword whole nan cyan + keyword whole nextafter cyan + keyword whole fdim cyan + keyword whole fmax cyan + keyword whole fmin cyan + keyword whole fma cyan + keyword whole pow cyan + keyword whole feqrel cyan + keyword whole poly cyan + +# comments + keyword /\*\* brown + keyword /\* brown + keyword \*/ brown + keyword // brown + + keyword /\+\+\+\+\+\+\+\+\+\+ brown + keyword /\+\+\+\+\+\+\+\+\+ brown + keyword /\+\+\+\+\+\+\+\+ brown + keyword /\+\+\+\+\+\+\+ brown + keyword /\+\+\+\+\+\+ brown + keyword /\+\+\+\+\+ brown + keyword /\+\+\+\+ brown + keyword /\+\+\+ brown + keyword /\+\+ brown + keyword /\+ brown + keyword \+\+\+\+\+\+\+\+\+\+/ brown + keyword \+\+\+\+\+\+\+\+\+/ brown + keyword \+\+\+\+\+\+\+\+/ brown + keyword \+\+\+\+\+\+\+/ brown + keyword \+\+\+\+\+\+/ brown + keyword \+\+\+\+\+/ brown + keyword \+\+\+\+/ brown + keyword \+\+\+/ brown + keyword \+\+/ brown + keyword \+/ brown + +# chars, 'x' and entities + keyword '\\\{"abtnvfr\}' brightgreen + keyword \\\{"abtnvfr\} brightmagenta + keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightmagenta + keyword '\\\{01234567\}\{01234567\}' brightgreen + keyword \\\{01234567\}\{01234567\} brightmagenta + keyword '\\\{01234567\}' brightgreen + keyword \\\{01234567\} brightmagenta + keyword '\\'' brightgreen + keyword '\\\\' brightgreen + keyword '\\0' brightgreen + keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~ ¡¢£¤¥¦§¨©ª«¬­®¯°±²³´µ¶·¸¹º»¼½¾¿ÀÁÂÃÄÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâãäåæçèéêëìíîïðñòóôõö÷øùúûüýþÿ\}' brightgreen + keyword '\\&*;' brightgreen + keyword \\&*; brightmagenta + keyword '\\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen + keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta + keyword '\\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen + keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta + keyword '\\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen + keyword \\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta + keyword '\\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}' brightgreen + keyword \\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightmagenta + +# hexstring + keyword x"\[0123456789abcdefABCDEF\t\s\]"\{cwd\} brightgreen + keyword x"\[0123456789abcdefABCDEF\t\s\]" brightgreen + +# start of wyswig string +# keyword "\{cwd\} green # imposible in cooledit + keyword " green + keyword r" green +# keyword `\{cwd\} green # imposible in cooledit + keyword ` green + +# operators + keyword !<>= yellow + keyword !<> yellow + keyword !>= yellow + keyword !> yellow + keyword !<= yellow + keyword !< yellow + keyword != yellow + keyword ! yellow + keyword %= yellow + keyword % yellow + keyword && yellow + keyword &= yellow + keyword & yellow + keyword ( brightcyan + keyword ) brightcyan + keyword \+\+ yellow + keyword -- yellow + keyword \*= yellow + keyword \* yellow + keyword \+= yellow + keyword \+ yellow + keyword , brightcyan + keyword -= yellow + keyword - yellow + keyword /= yellow + keyword / yellow + keyword : brightcyan + keyword ; brightmagenta + keyword <>= yellow + keyword <> yellow + keyword <<= yellow + keyword << yellow + keyword <= yellow + keyword < yellow + keyword = yellow + keyword >>>= yellow + keyword >>> yellow + keyword >>= yellow + keyword >> yellow + keyword >= yellow + keyword > yellow + keyword ? brightcyan + keyword [ brightcyan + keyword ] brightcyan + keyword ^= yellow + keyword ^ yellow + keyword || yellow + keyword |= yellow + keyword | yellow + keyword { brightcyan + keyword } brightcyan + keyword $ brightcyan + keyword ~= yellow + keyword ~ yellow + +# predefined lexer entities + keyword whole __FILE__ red + keyword whole __LINE__ red + keyword whole __DATE__ red + keyword whole __TIME__ red + keyword whole __TIMESTAMP__ red +# 1.017 ? + keyword whole __VENDOR__ red + keyword whole __VERSION__ red + + keyword #line*"*" red + +context exclusive /\*\* \*/ brown + keyword \*/ brown + spellcheck +# ddoc + keyword Authors: red + keyword Author: red + keyword BUGS: red + keyword Bugs: red + keyword Date: red + keyword Deprecated: red + keyword Examples: red + keyword History: red + keyword License: red + keyword Returns: red + keyword See_Also: red + keyword Standards: red + keyword Throws: red + keyword Version: red +# sections + keyword Copyright: red + keyword Params: red + keyword Macros: red +# non standard + keyword TODO: red + keyword FIXME: red + keyword Note: red + +context exclusive /\+\+\+\+\+\+\+\+\+ \+\+\+\+\+\+\+\+\+/ brown +context exclusive /\+\+\+\+\+\+\+\+ \+\+\+\+\+\+\+\+/ brown +context exclusive /\+\+\+\+\+\+\+ \+\+\+\+\+\+\+/ brown +context exclusive /\+\+\+\+\+\+ \+\+\+\+\+\+/ brown +context exclusive /\+\+\+\+\+ \+\+\+\+\+/ brown +context exclusive /\+\+\+\+ \+\+\+\+/ brown +context exclusive /\+\+\+ \+\+\+/ brown +context exclusive /\+\+ \+\+/ brown +context exclusive /\+ \+/ brown + +context exclusive /\* \*/ brown + spellcheck + +context exclusive // \n brown + spellcheck + +context exclusive ` ` green + +context exclusive r" " green + +context exclusive " " green + spellcheck + keyword \\" brightgreen + keyword %% brightgreen + keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen + keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen + keyword %\[hl\]n brightgreen + keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen + keyword %[*] brightgreen + keyword %c brightgreen + keyword %p brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{abtnvfr\} brightgreen + keyword \\&*; brightgreen diff --git a/misc/syntax/debian-changelog.syntax b/misc/syntax/debian-changelog.syntax new file mode 100644 index 0000000..72f2423 --- /dev/null +++ b/misc/syntax/debian-changelog.syntax @@ -0,0 +1,20 @@ +context default + + keyword linestart \s\s\* brightcyan/17 + keyword linestart \s-- brightred/19 + keyword linestart \s\s\s\s\+ cyan/17 + + keyword <*@*> brightred/19 + keyword whole experimental; brightmagenta/magenta + keyword whole unstable; brightmagenta/magenta + keyword whole stable; brightmagenta/magenta + keyword whole urgency=low yellow/24 + keyword whole urgency=medium brightgreen/6 + keyword whole urgency=high white/25 + keyword whole urgency=LOW yellow/24 + keyword whole urgency=MEDIUM brightgreen/6 + keyword whole urgency=HIGH white/25 + + keyword whole bug white/25 + keyword whole Bug white/25 + keyword whole BUG white/25 diff --git a/misc/syntax/debian-control.syntax b/misc/syntax/debian-control.syntax new file mode 100644 index 0000000..92d4925 --- /dev/null +++ b/misc/syntax/debian-control.syntax @@ -0,0 +1,23 @@ +context default + keyword linestart Source brightmagenta/20 + keyword linestart Section brightmagenta/20 + keyword linestart Priority brightmagenta/20 + keyword linestart Maintainer brightmagenta/20 + keyword linestart Standards-Version brightmagenta/20 + keyword linestart Package green/6 + keyword linestart Architecture green/6 + keyword linestart Depends green/6 + keyword linestart Recommends green/6 + keyword linestart Suggests green/6 + keyword linestart Conflicts green/6 + keyword linestart Replaces green/6 + keyword linestart Provides green/6 + keyword linestart Pre-Depends green/6 + keyword linestart Build-Depends green/6 + keyword linestart Build-Depends-Indep green/6 + keyword linestart Build-Conflicts green/6 + keyword linestart Build-Conflicts-Indep green/6 + keyword linestart Description green/6 + keyword <*@*> brightred/19 + +context linestart \s \n brown/22 diff --git a/misc/syntax/debian-description.syntax b/misc/syntax/debian-description.syntax new file mode 100644 index 0000000..17e1150 --- /dev/null +++ b/misc/syntax/debian-description.syntax @@ -0,0 +1,14 @@ +context default + keyword linestart Format green + keyword linestart Source brightmagenta + keyword linestart Version yellow + keyword linestart Binary brightgreen + keyword linestart Maintainer brightmagenta + keyword <*@*> brightred + keyword linestart Architecture cyan + keyword linestart Standards-Version brightmagenta + keyword linestart Build-Depends green + keyword linestart Files green + +context --- \n brightred + spellcheck diff --git a/misc/syntax/debian-sources-list.syntax b/misc/syntax/debian-sources-list.syntax new file mode 100644 index 0000000..1ae6b70 --- /dev/null +++ b/misc/syntax/debian-sources-list.syntax @@ -0,0 +1,70 @@ +context default + keyword linestart deb-src brightmagenta/20 + keyword linestart deb brightmagenta/20 + + # Variables + keyword \$\(ARCH\) brightred/18 + + # Options (delimiters) + keyword [ yellow/24 + keyword ] yellow/24 + + +# Options +context exclusive [ ] + # Basic syntax elements + keyword ! yellow/24 + keyword , yellow/24 + keyword = yellow/24 + + # Known options + keyword whole arch white + keyword whole allow-downgrade-to-insecure white + keyword whole allow-insecure white + keyword whole allow-weak white + keyword whole by-hash white + keyword whole check-date white + keyword whole check-valid-until white + keyword whole date-max-future white + keyword whole inrelease-path white + keyword whole lang white + keyword whole pdiffs white + keyword whole signed-by white + keyword whole target white + keyword whole trusted white + keyword whole valid-until-max white + keyword whole valid-until-min white + + # Known values + keyword whole force white + keyword whole no white + keyword whole yes white + +# Comment +context # \n brown/22 + +# Common URI specifications +context cdrom\:\/ \s cyan/24 +context copy\: \s cyan/24 +context file\:\/ \s cyan/24 +context ftp\:\/\/ \s green/6 +context http\:\/\/ \s green/6 +context https\:\/\/ \s green/6 +context mirror\:\/\/ \s green/6 +context mirror\+cdrom\:\/ \s cyan/24 +context mirror\+copy\: \s cyan/24 +context mirror\+file\:\/ \s cyan/24 +context mirror\+ftp\:\/\/ \s green/6 +context mirror\+https\:\/\/ \s green/6 +context mirror\+rsh\:\/\/ \s green/6 +context mirror\+ssh\:\/\/ \s green/6 +context rsh\:\/\/ \s green/6 +context ssh\:\/\/ \s green/6 + +# Other URI specifications +context mirror\+s3\:\/\/ \s brightgreen/6 +context mirror\+tor\+http\:\/\/ \s brightgreen/6 +context mirror\+tor\+https\:\/\/ \s brightgreen/6 +context s3\:\/\/ \s brightgreen/6 +context tor\+http\:\/\/ \s brightgreen/6 +context tor\+https\:\/\/ \s brightgreen/6 diff --git a/misc/syntax/diff.syntax b/misc/syntax/diff.syntax new file mode 100644 index 0000000..b0aaee6 --- /dev/null +++ b/misc/syntax/diff.syntax @@ -0,0 +1,32 @@ +# Highlighting for various diffs including those generated by CVS + +context default yellow + keyword linestart @@*@@ cyan + keyword linestart Index:\s brown + keyword linestart \s black white + keyword linestart \*\*\*\*\*\*\*\*\*\*\*\*\*\*\* cyan + keyword linestart \*\*\**\*\*\*\* cyan + keyword linestart ---*---- cyan +context exclusive linestart @@*@@ \n brightcyan +context exclusive linestart Index:\s \n black white +context exclusive linestart \s \n lightgray +context exclusive linestart \t \n lightgray +context linestart diff \n white red +context linestart ---\s \n brightmagenta +context linestart \+\+\+\s \n brightmagenta +context linestart \*\*\*\s \n brightmagenta +context linestart === \n brown +context linestart \+ \n brightgreen +context linestart > \n brightgreen +context linestart - \n brightred +context linestart < \n brightred +context linestart ! \n yellow +context linestart ? \n brown +context linestart RCS\s \n brown +context linestart retrieving\s \n brown +context linestart Only\s \n yellow black +context linestart Common\s \n yellow black +context linestart File\s \n yellow black +context linestart Files\s \n yellow black +context linestart Binary\s \n yellow black +context linestart # \n brightcyan diff --git a/misc/syntax/dlink.syntax b/misc/syntax/dlink.syntax new file mode 100644 index 0000000..2151d8e --- /dev/null +++ b/misc/syntax/dlink.syntax @@ -0,0 +1,216 @@ +# D-Link switch command set syntax +# Copyleft, by KLArk (A), 2010 y. + +context default + + keyword whole linestart create yellow + keyword whole linestart config yellow + keyword whole linestart delete yellow + keyword whole linestart enable yellow + keyword whole linestart disable yellow + keyword whole linestart save yellow + keyword whole linestart logout yellow + keyword whole linestart reset\sconfig brightred + + keyword whole permit brightgreen + keyword whole enable brightgreen + keyword whole disable brightred + keyword whole delete brightred + keyword whole deny brightred + keyword whole drop brightred + + keyword whole authen_enable brightcyan + keyword whole authen\sapplication brightcyan + keyword whole authen\sparameter brightcyan + keyword whole authen\senable_admin brightcyan + keyword whole authen_policy brightcyan + keyword whole authen_login brightcyan + + keyword whole snmp\ssystem_name brightcyan + keyword whole snmp\ssystem_location brightcyan + keyword whole snmp\ssystem_contact brightcyan + keyword whole snmp\scommunity brightcyan + keyword whole snmp\suser brightcyan + keyword whole snmp\sgroup brightcyan + keyword whole snmp\sview brightcyan + keyword whole snmp\sengineID brightcyan + keyword whole snmp brightcyan + + keyword whole vlan brightcyan + keyword whole gvrp brightcyan + keyword whole lldp brightcyan + keyword whole stp brightcyan + keyword whole double_vlan brightcyan + keyword whole clipaging brightcyan + keyword whole hol_prevention brightcyan + keyword whole ssl\sciphersuite brightcyan + keyword whole ssl\scachetimeout brightcyan + keyword whole jumbo_frame brightcyan + keyword whole pvid\sauto_assign brightcyan + keyword whole qinq brightcyan + keyword whole rspan brightcyan + keyword whole filter\sdhcp_server brightcyan + keyword whole bpdu_tunnel brightcyan + keyword whole greeting_message brightcyan + keyword whole ssh\salgorithm brightcyan + keyword whole ssh\sauthmode brightcyan + keyword whole ssh\suser brightcyan + keyword whole ipif_mac_mapping brightcyan + keyword whole mac_based_access_control brightcyan + keyword whole ipv6\snd brightcyan + keyword whole wac\smethod brightcyan + keyword whole wac brightcyan + keyword whole route\spreference brightcyan + keyword whole ecmp\salgorithm brightcyan + keyword whole ecmp\sospf brightcyan + keyword whole igmp brightcyan + keyword whole pim brightcyan + keyword whole dvmrp brightcyan + keyword whole rip brightcyan + keyword whole ospf brightcyan + keyword whole dnsr brightcyan + keyword whole dhcp_server brightcyan + keyword whole dhcp brightcyan + keyword whole option_82 brightcyan + keyword whole option_60 brightcyan + keyword whole option_61 brightcyan + keyword whole vrrp brightcyan + keyword whole autoconfig brightcyan + keyword whole lacp_port brightcyan + keyword whole serial_port brightcyan + keyword whole terminal_line brightcyan + keyword whole port_security brightcyan + keyword whole rmon brightcyan + keyword whole password\sencryption brightcyan + keyword whole traffic\strap brightcyan + keyword whole traffic\scontrol brightcyan + keyword whole traffic\scontrol_trap brightcyan + keyword whole loopdetect brightcyan + keyword whole sim brightcyan + keyword whole system_severity brightcyan + keyword whole scheduling brightcyan + keyword whole fdb brightcyan + keyword whole ssh brightcyan + keyword whole ssl brightcyan + keyword whole safeguard_engine brightcyan + keyword whole time_zone brightcyan + keyword whole dst brightcyan + keyword whole igmp_snooping brightcyan + keyword whole multicast_range brightcyan + keyword whole limited_multicast_addr brightcyan + keyword whole arp_aging brightcyan + keyword whole gratuitous_arp brightcyan + keyword whole command_prompt brightcyan + keyword whole iproute brightcyan + keyword whole time brightcyan + keyword whole sntp brightcyan + keyword whole multicast brightcyan + keyword whole arpentry brightcyan + keyword whole address_binding brightcyan + keyword whole dhcp_relay brightcyan + keyword whole dhcp_local_relay brightcyan + keyword whole traffic_segmentation brightcyan + keyword whole account brightcyan + keyword whole syslog brightcyan + keyword whole 802.1x brightcyan + keyword whole 802.1p brightcyan + keyword whole ipif brightcyan + keyword whole mirror brightcyan + keyword whole policy_route brightcyan + keyword whole asymmetric_vlan brightcyan + keyword whole bandwidth_control brightcyan + keyword whole scheduling_mechanism brightcyan + keyword whole log_save_timing brightcyan + keyword whole mac_notification brightcyan + keyword whole link_aggregation brightcyan + keyword whole cpu_interface_filtering brightcyan + + keyword whole access_profile\spacket_content_mask brightcyan + keyword whole access_profile\sip brightcyan + keyword whole access_profile\sethernet brightcyan + keyword whole access_profile\sprofile_id\s\[1234567890\] magenta + keyword whole profile_id\s\[1234567890\] brightmagenta + keyword whole access_id\s\[1234567890\] blue + keyword whole access_id\sauto_assign blue + keyword whole \*\*\*\*\*\*\*\* brightred + keyword whole \[1234567890\].\[1234567890\].\[1234567890\].\[1234567890\]/\[1234567890\] red + keyword whole \[1234567890\].\[1234567890\].\[1234567890\].\[1234567890\] red + keyword whole \[1234567890abcdefABCDEDF\]:\[1234567890abcdefABCDEF\]:\[1234567890abcdefABCDEF\]:\[1234567890abcdefABCDEF\]:\[1234567890abcdefABCDEF\]:\[1234567890abcdefABCDEF\] cyan + keyword whole \[1234567890abcdefABCDEDF\]-\[1234567890abcdefABCDEF\]-\[1234567890abcdefABCDEF\]-\[1234567890abcdefABCDEF\]-\[1234567890abcdefABCDEF\]-\[1234567890abcdefABCDEF\] cyan + keyword whole mst_ports\s\[1234567890\-\] white + keyword whole ports\s\[1234567890\-\] white + keyword whole port\s\[1234567890\-\] white + keyword whole web\s\[1234567890\] green + keyword whole telnet\s\[1234567890\] green + keyword whole udp_port\s\[1234567890\] green + keyword whole tcp_port\s\[1234567890\] green + keyword whole src_port\s\[1234567890\] green + keyword whole dst_port\s\[1234567890\] green + keyword whole icmp\stype\s\[1234567890\] green + keyword whole ports\sall white + keyword whole port\sall white + keyword whole telnet brightcyan + keyword whole web brightcyan + +context exclusive config\sgvrp state + keyword whole gvrp brightcyan + keyword whole \[1234567890\-\] white + +context exclusive traffic_segmentation \n + keyword whole \[1234567890\-\] white + keyword whole all white + +context exclusive traffic\scontrol action + keyword whole enable brightgreen + keyword whole disable brightred + keyword whole \[1234567890\-\] white + +context exclusive bandwidth_control \n + keyword whole \[1234567890\-\] white + +context exclusive default_priority \n + keyword whole \[1234567890\-\] white + +context exclusive filtering_mode \n + keyword whole all white + +context exclusive config\srouter_ports_forbidden \n + keyword whole router_ports_forbidden brightcyan + keyword whole \[1234567890\-\] white + +context exclusive config\sssh\sserver \n + keyword whole ssh\sserver brightcyan + keyword whole port\s\[1234567890\-\] green + +context exclusive create\svlan \n + keyword whole vlan brightcyan + keyword whole \[1234567890\] brightblue + +context exclusive pvid \n + keyword whole \[1234567890\] brightblue + +context exclusive config\svlan\s\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789_\-\]\sdelete \n + keyword whole vlan brightcyan + keyword whole \[1234567890\-\] white + +context exclusive CommunityView \n + keyword whole \[1234567890\.\] blue + +context exclusive restricted \n + keyword whole \[1234567890\.\] blue + +context exclusive lacp_port mode + keyword whole \[1234567890\-\] white + +context exclusive add\sforbidden \n + keyword whole \[1234567890\-\] white + +context exclusive add\suntagged \n + keyword whole \[1234567890\-\] white + +context exclusive add\stagged \n + keyword whole \[1234567890\-\] white + +context linestart # \n brown + spellcheck + diff --git a/misc/syntax/dos.syntax b/misc/syntax/dos.syntax new file mode 100644 index 0000000..12c8467 --- /dev/null +++ b/misc/syntax/dos.syntax @@ -0,0 +1,74 @@ +# DOS & Windows highlighting +# 2002 (C) Petr Kozelka, + +caseinsensitive + +context default + keyword whole break yellow + keyword whole call yellow + keyword whole cd yellow + keyword whole chdir yellow + keyword whole cls yellow + keyword whole copy yellow + keyword whole del yellow + keyword whole dir yellow + keyword whole do yellow + keyword whole echo yellow + keyword whole else yellow + keyword whole erase yellow + keyword whole endlocal yellow + keyword whole errorlevel yellow + keyword whole exist yellow + keyword whole exit yellow + keyword whole for yellow + keyword whole goto yellow + keyword whole if yellow + keyword whole in yellow + keyword whole md yellow + keyword whole mkdir yellow + keyword whole move yellow + keyword whole not yellow + keyword whole off yellow + keyword whole on yellow + keyword whole pause yellow + keyword whole popd yellow + keyword whole pushd yellow + keyword whole rd yellow + keyword wholeleft rem\s*\n brown + keyword whole ren yellow + keyword whole rename yellow + keyword whole rmdir yellow + keyword whole set yellow + keyword whole setlocal yellow + keyword whole shift yellow + keyword whole then yellow + keyword whole type yellow + keyword whole ver yellow + + keyword \\ lightgray + keyword whole \%\* brightmagenta + keyword whole \%\$ brightmagenta + keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\]+\% brightmagenta + keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789@~\]+ brightmagenta + keyword >> white + keyword > white + keyword < white + keyword | white + +context ' ' brightcyan + spellcheck + +context " " brightcyan + keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\]+\% brightmagenta + keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789@~\]+ brightmagenta + spellcheck + +context linestart :: \n brightgreen + keyword $+:*$ black green + spellcheck + +context linestart : \n white black + spellcheck + +context :: \n brightgreen + spellcheck diff --git a/misc/syntax/dot.syntax b/misc/syntax/dot.syntax new file mode 100644 index 0000000..7049180 --- /dev/null +++ b/misc/syntax/dot.syntax @@ -0,0 +1,227 @@ +# DOT/Graphviz graphs +context default + keyword whole strict brightred + keyword whole graph brightred + keyword whole digraph brightred + keyword whole subgraph brightred + + keyword whole node yellow + keyword whole edge yellow + + keyword wholeleft cluster_ yellow + + keyword whole -> brightred + keyword whole -- brightred + + keyword whole _background white + keyword whole area white + keyword whole arrowhead white + keyword whole arrowsize white + keyword whole arrowtail white + keyword whole bb white + keyword whole bgcolor white + keyword whole center white + keyword whole charset white + keyword whole class white + keyword whole clusterrank white + keyword whole color white + keyword whole colorscheme white + keyword whole comment white + keyword whole compound white + keyword whole concentrate white + keyword whole constraint white + keyword whole Damping white + keyword whole decorate white + keyword whole defaultdist white + keyword whole dim white + keyword whole dimen white + keyword whole dir white + keyword whole diredgeconstraints white + keyword whole distortion white + keyword whole dpi white + keyword whole edgehref white + keyword whole edgetarget white + keyword whole edgetooltip white + keyword whole edgeURL white + keyword whole epsilon white + keyword whole esep white + keyword whole fillcolor white + keyword whole fixedsize white + keyword whole fontcolor white + keyword whole fontname white + keyword whole fontnames white + keyword whole fontpath white + keyword whole fontsize white + keyword whole forcelabels white + keyword whole gradientangle white + keyword whole group white + keyword whole head_lp white + keyword whole headclip white + keyword whole headhref white + keyword whole headlabel white + keyword whole headport white + keyword whole headtarget white + keyword whole headtooltip white + keyword whole headURL white + keyword whole height white + keyword whole href white + keyword whole id white + keyword whole image white + keyword whole imagepath white + keyword whole imagepos white + keyword whole imagescale white + keyword whole inputscale white + keyword whole K white + keyword whole label white + keyword whole label_scheme white + keyword whole labelangle white + keyword whole labeldistance white + keyword whole labelfloat white + keyword whole labelfontcolor white + keyword whole labelfontname white + keyword whole labelfontsize white + keyword whole labelhref white + keyword whole labeljust white + keyword whole labelloc white + keyword whole labeltarget white + keyword whole labeltooltip white + keyword whole labelURL white + keyword whole landscape white + keyword whole layer white + keyword whole layerlistsep white + keyword whole layers white + keyword whole layerselect white + keyword whole layersep white + keyword whole layout white + keyword whole len white + keyword whole levels white + keyword whole levelsgap white + keyword whole lhead white + keyword whole lheight white + keyword whole lp white + keyword whole ltail white + keyword whole lwidth white + keyword whole margin white + keyword whole maxiter white + keyword whole mclimit white + keyword whole mindist white + keyword whole minlen white + keyword whole mode white + keyword whole model white + keyword whole mosek white + keyword whole newrank white + keyword whole nodesep white + keyword whole nojustify white + keyword whole normalize white + keyword whole notranslate white + keyword whole nslimit white + keyword whole nslimit1 white + keyword whole ordering white + keyword whole orientation white + keyword whole outputorder white + keyword whole overlap white + keyword whole overlap_scaling white + keyword whole overlap_shrink white + keyword whole pack white + keyword whole packmode white + keyword whole pad white + keyword whole page white + keyword whole pagedir white + keyword whole pencolor white + keyword whole penwidth white + keyword whole peripheries white + keyword whole pin white + keyword whole pos white + keyword whole quadtree white + keyword whole quantum white + keyword whole rank white + keyword whole rankdir white + keyword whole ranksep white + keyword whole ratio white + keyword whole rects white + keyword whole regular white + keyword whole remincross white + keyword whole repulsiveforce white + keyword whole resolution white + keyword whole root white + keyword whole rotate white + keyword whole rotation white + keyword whole samehead white + keyword whole sametail white + keyword whole samplepoints white + keyword whole scale white + keyword whole searchsize white + keyword whole sep white + keyword whole shape white + keyword whole shapefile white + keyword whole showboxes white + keyword whole sides white + keyword whole size white + keyword whole skew white + keyword whole smoothing white + keyword whole sortv white + keyword whole splines white + keyword whole start white + keyword whole style white + keyword whole stylesheet white + keyword whole tail_lp white + keyword whole tailclip white + keyword whole tailhref white + keyword whole taillabel white + keyword whole tailport white + keyword whole tailtarget white + keyword whole tailtooltip white + keyword whole tailURL white + keyword whole target white + keyword whole tooltip white + keyword whole truecolor white + keyword whole URL white + keyword whole vertices white + keyword whole viewport white + keyword whole voro_margin white + keyword whole weight white + keyword whole width white + keyword whole xdotversion white + keyword whole xlabel white + keyword whole xlp white + keyword whole z white + + keyword /\* brown + keyword \*/ brown + keyword // brown + + keyword \+ red + keyword , brightcyan + keyword : brightcyan + keyword ; brightmagenta + keyword < green + keyword = yellow + keyword > green + keyword [ brightcyan + keyword ] brightcyan + keyword { brightcyan + keyword } brightcyan + +context exclusive /\* \*/ brown + spellcheck + +context exclusive // \n brown + spellcheck + +context linestart # \n brightred + keyword \\\n yellow + keyword /\**\*/ brown + keyword //*\n brown + keyword "+" red + keyword <+> red + +context exclusive < > green + spellcheck + + keyword <*> cyan + +context " " green + spellcheck + keyword \\\n yellow + keyword \\" brightgreen + keyword \\\{abtnvfr\} brightgreen diff --git a/misc/syntax/ebuild.syntax b/misc/syntax/ebuild.syntax new file mode 100644 index 0000000..96fa35f --- /dev/null +++ b/misc/syntax/ebuild.syntax @@ -0,0 +1,566 @@ +# Author : Sergei Trofimovich +# Language : shell +# Mode : ebuild +# +# sh.syntax is taken as base. +# +# Note : keep in sync default and " " contexts +# +# 'sh.syntax' header: +# # Since I regulary update ths file, please send any comments +# # to: Michelle Konzack +# # Last Update: Thu, 01 Jun 2006 22:10:07 +0200 + +context default + #.ebuild specific commands/glo vars + # TODO: 1. split keywords by .eclass'es + # 2. grep all exports and place here + keyword whole AT_M4DIR brightcyan + keyword whole BDEPEND brightcyan + keyword whole CABAL_FEATURES brightcyan + keyword whole CHECKREQS_DISK_BUILD brightcyan + keyword whole CHECKREQS_DISK_USR brightcyan + keyword whole CHECKREQS_DISK_VAR brightcyan + keyword whole CHECKREQS_MEMORY brightcyan + keyword whole CONFIG_CHECK brightcyan + keyword whole DEPEND brightcyan + keyword whole DESCRIPTION brightcyan + keyword whole EAPI brightcyan + keyword whole ECONF_SOURCE brightcyan + keyword whole EDARCS_REPOSITORY brightcyan + keyword whole EDARCS_GET_CMD brightcyan + keyword whole EDARCS_LOCALREPO brightcyan + keyword whole EGIT_BRANCH brightcyan + keyword whole EGIT_PROJECT brightcyan + keyword whole EGIT_REPO_URI brightcyan + keyword whole EGIT_SUBMODULES brightcyan + keyword whole EGIT_TREE brightcyan + keyword whole ESVN_REPO_URI brightcyan + keyword whole EXPORT_FUNCTIONS brightcyan + keyword whole HOMEPAGE brightcyan + keyword whole IUSE brightcyan + keyword whole KEYWORDS brightcyan + keyword whole LICENSE brightcyan + keyword whole PATCHES brightcyan + keyword whole PDEPEND brightcyan + keyword whole PROVIDE brightcyan + keyword whole PYTHON_COMPAT brightcyan + keyword whole QA_AM_MAINTAINER_MODE brightcyan + keyword whole QA_CONFIGURE_OPTIONS brightcyan + keyword whole QA_DESKTOP_FILE brightcyan + keyword whole QA_DT_NEEDED brightcyan + keyword whole QA_EXECSTACK brightcyan + keyword whole QA_FLAGS_IGNORED brightcyan + keyword whole QA_MULTILIB_PATHS brightcyan + keyword whole QA_PREBUILT brightcyan + keyword whole QA_PRESTRIPPED brightcyan + keyword whole QA_SONAME brightcyan + keyword whole QA_TEXTRELS brightcyan + keyword whole QA_WX_LOAD brightcyan + keyword whole RDEPEND brightcyan + keyword whole REQUIRED_USE brightcyan + keyword whole RESTRICT brightcyan + keyword whole S brightcyan + keyword whole SITEFILE brightcyan + keyword whole SLOT brightcyan + keyword whole SRC_URI brightcyan + keyword whole WANT_AUTOCONF brightcyan + keyword whole WANT_AUTOMAKE brightcyan + keyword DOCS brightcyan + keyword HTML_DOCS brightcyan + keyword LINGUAS brightcyan + + keyword whole addpredict yellow + keyword whole best_version yellow + keyword whole built_with_use yellow + keyword whole check_KV yellow + keyword whole default yellow + keyword whole die yellow + keyword whole diropts yellow + keyword whole dobin yellow + keyword whole docinto yellow + keyword whole docompress yellow + keyword whole doconfd yellow + keyword whole dodir yellow + keyword whole dodoc yellow + keyword whole doenvd yellow + keyword whole doexe yellow + keyword whole dohard yellow + keyword whole dohtml yellow + keyword whole doinfo yellow + keyword whole doinitd yellow + keyword whole doins yellow + keyword whole dolib yellow + keyword whole dolib.a yellow + keyword whole dolib.so yellow + keyword whole doman yellow + keyword whole dosbin yellow + keyword whole dosed yellow + keyword whole dosym yellow + keyword whole eapply yellow + keyword whole eapply_user yellow + keyword whole ebegin yellow + keyword whole econf yellow + keyword whole eend yellow + keyword whole eerror yellow + keyword whole einfo yellow + keyword whole einstall yellow + keyword whole einstalldocs yellow + keyword whole elog yellow + keyword whole emake yellow + keyword whole epatch yellow + keyword whole epatch_user yellow + keyword whole ewarn yellow + keyword whole exeinto yellow + keyword whole exeopts yellow + keyword whole enewgroup yellow + keyword whole enewuser yellow + keyword whole fowners yellow + keyword whole fperms yellow + keyword whole has yellow + keyword whole hasq yellow + keyword whole has_version yellow + keyword whole insinto yellow + keyword whole insopts yellow + keyword whole intltoolize yellow + keyword whole into yellow + keyword whole keepdir yellow + keyword whole libopts yellow + keyword whole newbin yellow + keyword whole newconfd yellow + keyword whole newdoc yellow + keyword whole newexe yellow + keyword whole newins yellow + keyword whole newman yellow + keyword whole newsbin yellow + keyword whole newinitd yellow + keyword whole pax-mark yellow + keyword whole prepall yellow + keyword whole prepalldocs yellow + keyword whole prepallinfo yellow + keyword whole prepallman yellow + keyword whole unpack yellow + keyword whole use yellow + keyword whole usev yellow + keyword whole usex yellow + keyword whole use_enable yellow + keyword whole use_with yellow + #autotools + keyword whole _elibtoolize yellow + keyword whole eaclocal yellow + keyword whole eautoconf yellow + keyword whole eautopoint yellow + keyword whole eautoreconf yellow + keyword whole eautomake yellow + #bashcomp + keyword whole bashcomp_alias yellow + keyword whole dobashcomp yellow + keyword whole newbashcomp yellow + #cvs + keyword whole cvs_src_unpack yellow + #darcs + keyword whole darcs_src_unpack yellow + #default + keyword whole default_src_unpack yellow + #desktop + keyword whole doicon yellow + keyword whole domenu yellow + keyword whole make_desktop_entry yellow + keyword whole make_session_desktop yellow + keyword whole newicon yellow + keyword whole newmenu yellow + #elisp-common + keyword whole elisp-compile yellow + keyword whole elisp-install yellow + keyword whole elisp-site-file-install yellow + keyword whole elisp-site-regen yellow + #flag-o-matic + keyword whole append-cflags yellow + keyword whole append-cxxflags yellow + keyword whole append-flags yellow + keyword whole append-ldflags yellow + keyword whole append-lfs-flags yellow + keyword whole filter-flags yellow + keyword whole replace-flags yellow + keyword whole strip-flags yellow + keyword whole strip-unsupported-flags yellow + #git-r3 + keyword whole git-r3_checkout yellow + keyword whole git-r3_fetch yellow + keyword whole git-r3_src_unpack yellow + #java-ant-2 + keyword whole EANT_BUILD_TARGET yellow + #kernel-2 + keyword whole kernel-2_src_unpack yellow + keyword whole kernel_is yellow + #libtool + keyword whole elibtoolize yellow + #mercurial + keyword whole mercurial_src_unpack yellow + #mozilla + keyword whole mozconfig_annotate yellow + keyword whole mozconfig_config yellow + keyword whole mozconfig_final yellow + keyword whole mozconfig_init yellow + keyword whole mozconfig_install_prefs yellow + keyword whole mozconfig_use_enable yellow + keyword whole mozconfig_use_with yellow + keyword whole moz_pkg_setup yellow + #multilib + keyword whole multilib_copy_sources yellow + keyword whole multilib_env yellow + keyword whole multilib_foreach_abi yellow + keyword whole multilib_is_native_abi yellow + keyword whole multilib_layout yellow + keyword whole multilib_parallel_foreach_abi yellow + keyword whole multilib_toolchain_setup yellow + #ninja-utils + keyword whole eninja yellow + #pam + keyword whole cleanpamd yellow + keyword whole dopamd yellow + keyword whole dopammod yellow + keyword whole dopamsecurity yellow + keyword whole newpamd yellow + keyword whole newpammod yellow + keyword whole newpamsecurity yellow + keyword whole pamd_mimic yellow + keyword whole pamd_mimic_system yellow + #prefix + keyword whole eprefixify yellow + #preserve-libs + keyword whole preserve_old_lib yellow + keyword whole preserve_old_lib_notify yellow + #python + keyword whole python_copy_sources yellow + keyword whole python_do yellow + keyword whole python_doexe yellow + keyword whole python_doheader yellow + keyword whole python_domodule yellow + keyword whole python_doscript yellow + keyword whole python_export yellow + keyword whole python_export_best yellow + keyword whole python_fix_shebang yellow + keyword whole python_foreach_impl yellow + keyword whole python_is_python3 yellow + keyword whole python_moduleinto yellow + keyword whole python_newexe yellow + keyword whole python_newscript yellow + keyword whole python_optimize yellow + keyword whole python_replicate_script yellow + keyword whole python_scriptinto yellow + keyword whole python_setup yellow + #rpm + keyword whole rpm_src_unpack yellow + keyword whole rpm_unpack yellow + #svn + keyword whole subversion_src_unpack yellow + #systemd + keyword whole systemd_dotmpfilesd yellow + keyword whole systemd_dounit yellow + keyword whole systemd_douserunit yellow + keyword whole systemd_enable_ntpunit yellow + keyword whole systemd_install_serviced yellow + keyword whole systemd_logger yellow + keyword whole systemd_newtmpfilesd yellow + keyword whole systemd_newunit yellow + keyword whole systemd_reenable yellow + keyword whole systemd_update_catalog yellow + #toolchain-funcs + keyword whole gen_usr_ldscript yellow + keyword whole tc-check-openmp yellow + keyword whole tc-enables-pie yellow + keyword whole tc-export yellow + keyword whole tc-export_build_env yellow + keyword whole tc-has-openmp yellow + keyword whole tc-has-tls yellow + keyword whole tc-is-clang yellow + keyword whole tc-is-cross-compiler yellow + keyword whole tc-is-gcc yellow + keyword whole tc-is-static-only yellow + keyword whole tc-ld-disable-gold yellow + #toolchain + keyword whole toolchain_src_unpack yellow + #unpacker + keyword whole unpacker_src_unpack yellow + #virtualx + keyword whole virtx yellow + #xdg + keyword whole xdg_desktop_database_update yellow + keyword whole xdg_environment_reset yellow + keyword whole xdg_icon_cache_update yellow + keyword whole xdg_mimeinfo_database_update yellow + + #bashism (builtin extended 'test') + keyword whole [[ brightcyan + keyword whole ]] brightcyan + + #common Makefile variables + keyword whole AR cyan + keyword whole AS cyan + keyword whole BINDIR cyan + keyword whole CC cyan + keyword whole CFLAGS cyan + keyword whole CPPFLAGS cyan + keyword whole CXX cyan + keyword whole CXXFLAGS cyan + keyword whole DESTDIR cyan + keyword whole DOCDIR cyan + keyword whole LD cyan + keyword whole LDFLAGS cyan + keyword whole LIBDIR cyan + keyword whole MANDIR cyan + keyword whole NM cyan + keyword whole OBJCOPY cyan + keyword whole PKG_CONFIG cyan + keyword whole PREFIX cyan + keyword whole RANLIB cyan + keyword whole SBINDIR cyan + keyword whole SHELL cyan + keyword whole STRIP cyan + keyword whole SYSCONFDIR cyan + keyword whole YACC cyan + +#################### +# here starts original sh.syntax (with core commands only) +#################### + + keyword ! brightred + keyword ;; brightred + keyword \\@ brightred + keyword \\$ brightred + keyword \\\\ brightred + keyword \\" brightred + keyword \\' brightred + keyword \\` brightred + keyword ` brightred + keyword ; brightcyan + keyword $(*) brightgreen + keyword ${*} brightgreen + keyword { brightcyan + keyword } brightcyan + + keyword whole linestart #!\[\s\]*\n brightcyan black + + keyword $\* brightred + keyword $@ brightred + keyword $# brightred + keyword $? brightred + keyword $- brightred + keyword $$ brightred + keyword $! brightred + keyword $_ brightred + + keyword whole 2>&1 brightred + keyword whole 1>&2 brightred + keyword whole 2> brightred + keyword whole 1> brightred + + keyword wholeright $\[0123456789\] brightred + + keyword wholeright $+ brightgreen + + keyword $ brightgreen + + keyword wholeleft linestart function*() brightmagenta + keyword wholeleft linestart function\[\s\]+ brightmagenta + keyword wholeright +() brightmagenta + +wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._ + + keyword whole break yellow + keyword whole case yellow + keyword whole clear yellow + keyword whole continue yellow + keyword whole declare yellow + keyword whole done yellow + keyword whole do yellow + keyword whole elif yellow + keyword whole else yellow + keyword whole esac yellow + keyword whole exit yellow + keyword whole export yellow + keyword whole fi yellow + keyword whole for yellow + keyword whole getopts yellow + keyword whole if yellow + keyword whole in yellow + keyword whole local yellow + keyword whole read yellow + keyword whole return yellow + keyword whole select yellow + keyword whole shift yellow + keyword whole source yellow + keyword whole then yellow + keyword whole trap yellow + keyword whole until yellow + keyword whole unset yellow + keyword whole wait yellow + keyword whole while yellow + +# here goes usable subset for .ebuild commands + keyword whole awk cyan + keyword whole cd cyan + keyword whole chown cyan + keyword whole chmod cyan + keyword whole cmake cyan + keyword whole cp cyan + keyword whole echo cyan + keyword whole egrep cyan + keyword whole fgrep cyan + keyword whole find cyan + keyword whole grep cyan + keyword whole ln cyan + keyword whole make cyan + keyword whole mkdir cyan + keyword whole mknod cyan + keyword whole mv cyan + keyword whole perl cyan + keyword whole pod2man cyan + keyword whole popd cyan + keyword whole printf cyan + keyword whole pushd cyan + keyword whole rm cyan + keyword whole rmdir cyan + keyword whole sed cyan + keyword whole sh cyan + keyword whole shopt cyan + keyword whole touch cyan + keyword whole xmkmf cyan + +# some interesting files to copy + keyword whole ANNOUNCEMENT white + keyword whole AUTHORS white + keyword whole BUGS white + keyword whole CHANGES white + keyword whole COPYING white + keyword whole COPYRIGHT white + keyword whole CREDITS white + keyword whole ChangeLog white + keyword whole FAQ white + keyword whole HACKING white + keyword whole INSTALL white + keyword whole LICENSE white + keyword whole NEWS white + keyword whole README white + keyword whole THANKS white + keyword whole TODO white + keyword whole VERSION white + + keyword whole /dev/audio brightblue + keyword whole /dev/dsp brightblue + keyword whole /dev/null brightblue + keyword whole /dev/mixed brightblue + keyword whole /dev/stdin brightblue + keyword whole /dev/stdout brightblue + keyword whole /dev/stderr brightblue + keyword whole /dev/zero brightblue + +wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_ + +context # \n brown + spellcheck + +context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]EOF EOF green +context exclusive whole <<\[\s\]\[-\]\[\s\]\[\\\]END END green + +context ' ' green + +context " " green + keyword \\* brightgreen + keyword \\@ brightgreen + keyword \\$ brightgreen + keyword \\\\ brightgreen + keyword \\` brightgreen + keyword \\" brightgreen + keyword $(*) brightgreen + keyword ${*} brightgreen + keyword $\* brightred + keyword $@ brightred + keyword $# brightred + keyword $? brightred + keyword $- brightred + keyword $$ brightred + keyword $! brightred + keyword $_ brightred + keyword wholeright $\[0123456789\] brightred + + keyword wholeright $+ brightgreen + + keyword $ brightgreen + +context exclusive ` ` lightgray black + keyword '*' green + keyword " green + keyword \\` green + keyword ; brightcyan + keyword $(*) brightgreen + keyword ${*} brightgreen + keyword { brightcyan + keyword } brightcyan + + keyword $\* brightred + keyword $@ brightred + keyword $# brightred + keyword $? brightred + keyword $- brightred + keyword $$ brightred + keyword $! brightred + keyword $_ brightred + + keyword whole 2>&1 brightred + keyword whole 2> brightred + keyword whole 1> brightred + + keyword wholeright $\[0123456789\] brightred + + keyword wholeright $+ brightgreen + + keyword $ brightgreen + +wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789-._ + + keyword whole break yellow + keyword whole case yellow + keyword whole clear yellow + keyword whole continue yellow + keyword whole declare yellow + keyword whole done yellow + keyword whole do yellow + keyword whole elif yellow + keyword whole else yellow + keyword whole esac yellow + keyword whole exit yellow + keyword whole export yellow + keyword whole fi yellow + keyword whole for yellow + keyword whole getopts yellow + keyword whole if yellow + keyword whole in yellow + keyword whole read yellow + keyword whole return yellow + keyword whole select yellow + keyword whole shift yellow + keyword whole source yellow + keyword whole then yellow + keyword whole trap yellow + keyword whole until yellow + keyword whole unset yellow + keyword whole wait yellow + keyword whole while yellow + +# here goes usable subset for .ebuild commands + keyword whole awk cyan + keyword whole sed cyan + + keyword whole /dev/audio brightblue + keyword whole /dev/dsp brightblue + keyword whole /dev/null brightblue + keyword whole /dev/mixed brightblue + keyword whole /dev/stdin brightblue + keyword whole /dev/stdout brightblue + keyword whole /dev/stderr brightblue + keyword whole /dev/zero brightblue + +context inherit \n magenta + keyword whole inherit yellow diff --git a/misc/syntax/eiffel.syntax b/misc/syntax/eiffel.syntax new file mode 100644 index 0000000..66e8c2a --- /dev/null +++ b/misc/syntax/eiffel.syntax @@ -0,0 +1,114 @@ +# Sytnax highlighting for Eiffel +# Daniel F Moisset - dmoisset@grulic.org.ar +# Based on mc's pascal.syntax + +context default yellow + + keyword whole agent white + keyword whole alias white + keyword whole as white + keyword whole check white + keyword whole class white + keyword whole create white + keyword whole creation white + keyword whole debug white + keyword whole deferred white + keyword whole do white + keyword whole else white + keyword whole elseif white + keyword whole end white + keyword whole ensure white + keyword whole expanded white + keyword whole export white + keyword whole external white + keyword whole feature white + keyword whole from white + keyword whole if white + keyword whole is white + keyword whole indexing white + keyword whole inherit white + keyword whole inspect white + keyword whole invariant white + keyword whole like white + keyword whole local white + keyword whole loop white + keyword whole obsolete white + keyword whole old white + keyword whole once white + keyword whole redefine white + keyword whole reference white + keyword whole rename white + keyword whole require white + keyword whole rescue white + keyword whole retry white + keyword whole select white + keyword whole then white + keyword whole undefine white + keyword whole unique white + keyword whole until white + keyword whole variant white + keyword whole when white + + keyword whole Current brightmagenta + keyword whole Precursor brightmagenta + keyword whole Result brightmagenta + keyword whole Void brightmagenta + +# prevents - keyword from interfering with comment + keyword -- lightgray + + keyword := brightcyan + keyword ?= brightcyan + keyword ! brightcyan + keyword : brightcyan + keyword ; brightcyan + keyword ( brightcyan + keyword ) brightcyan + keyword [ brightcyan + keyword ] brightcyan + + keyword {*} brightred + + keyword \+ cyan + keyword - cyan + keyword \* cyan + keyword / cyan + keyword > cyan + keyword < cyan + keyword = cyan + keyword ^ cyan + keyword \\ cyan + keyword @ cyan + keyword | cyan + keyword whole and cyan + keyword whole implies cyan + keyword whole not cyan + keyword whole xor cyan + keyword whole or cyan + +# Uncomment this to highlight tabs and trailing spaces +# keyword \t yellow red +# keyword \s\[\s\]\n yellow red + +# Comments +context exclusive -- \n lightgray + keyword `*' brown + +# Strings and characters +context " " green + keyword %N brightgreen + keyword %R brightgreen + keyword %U brightgreen + keyword %" brightgreen + keyword %' brightgreen + keyword %% brightgreen + keyword %/\[0123456789\]/ brightgreen + +context ' ' green + keyword %N brightgreen + keyword %R brightgreen + keyword %U brightgreen + keyword %" brightgreen + keyword %' brightgreen + keyword %% brightgreen + keyword %/\[0123456789\]/ brightgreen diff --git a/misc/syntax/erlang.syntax b/misc/syntax/erlang.syntax new file mode 100644 index 0000000..cfed3ce --- /dev/null +++ b/misc/syntax/erlang.syntax @@ -0,0 +1,299 @@ +# ------------------------------- +# +# Erlang programming language syntax highlighting +# for CoolEdit +# +# Homepage of Erlang: http://www.erlang.org +# +# Author: Witold Baryluk < baryluk at smp if uj edu pl > +# Date: 07-07-2009, 08-02-2009 +# +# ------------------------------- +# +# Useful links: +# http://www.erlang.org/doc/doc-5.4.13/doc/reference_manual/part_frame.html +# http://www.erlang.org/doc/reference_manual/data_types.html#2.14 +# http://www.regular-expressions.info/reference.html +# gedit: http://martin.ankerl.com/files/erlang.lang +# joe: http://www.straatinvestments.com/~tlack/erlang/joe-editor/erlang.jsf +# geshi: http://www.kreisquadratur.de/blog/?p=305 +# vim: http://erlang.org/pipermail/erlang-questions/2006-July/021362.html +# kate: http://bugsfiles.kde.org/attachment.cgi?id=21155 +# +# entries for Syntax file: +# +# file ..\*\\.erl$ Erlang\sProgram (-module\\(|#!.*escript) +# include erlang.syntax +# +# file ..\*\\.hrl$ Erlang\sHeader -record\\( +# include erlang.syntax + +context default + keyword whole after yellow + keyword whole and brown + keyword whole andalso brown + keyword whole band brown + keyword whole begin yellow + keyword whole bnot brown + keyword whole bor brown + keyword whole bsl brown + keyword whole bsr brown + keyword whole bxor brown + keyword whole case yellow + keyword whole catch yellow + keyword whole cond yellow + keyword whole div brown + keyword whole end yellow + keyword whole fun yellow + keyword whole if yellow + keyword whole let yellow + keyword whole not brown + keyword whole of yellow + keyword whole or brown + keyword whole orelse brown + keyword whole query yellow + keyword whole receive yellow + keyword whole rem brown + keyword whole try yellow + keyword whole throw yellow + keyword whole xor brown + + keyword whole when yellow + + keyword whole -module brightmagenta + keyword whole -compile brightmagenta + keyword whole -behaviour brightmagenta + keyword whole -record brightmagenta + keyword whole -define brightmagenta + keyword whole -include brightmagenta + keyword whole -include_lib brightmagenta + keyword whole -vsn magenta + keyword whole -author magenta + keyword whole -copyright magenta + keyword whole -export brightmagenta + keyword whole -\{abcdefghijklmnoprqstuvwxyz\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTVWXYZ0123456789_\] red + +# erlang:* + keyword whole abs brightgreen + keyword whole accept brightgreen + keyword whole alarm brightgreen + keyword whole apply brightgreen + keyword whole atom_to_list brightgreen + keyword whole binary_to_list brightgreen + keyword whole binary_to_term brightgreen + keyword whole check_process_code brightgreen + keyword whole concat_binary brightgreen + keyword whole date brightgreen + keyword whole delete_module brightgreen + keyword whole disconnect_node brightgreen + keyword whole element brightgreen + keyword whole erase brightgreen + keyword whole exit brightgreen + keyword whole float brightgreen + keyword whole float_to_list brightgreen + keyword whole garbage_collect brightgreen + keyword whole get brightgreen + keyword whole get_keys brightgreen + keyword whole group_leader brightgreen + keyword whole halt brightgreen + keyword whole hd brightgreen + keyword whole integer_to_list brightgreen + keyword whole is_alive brightgreen + keyword whole is_atom brightgreen + keyword whole is_binary brightgreen + keyword whole is_boolean brightgreen + keyword whole is_float brightgreen + keyword whole is_function brightgreen + keyword whole is_integer brightgreen + keyword whole is_list brightgreen + keyword whole is_number brightgreen + keyword whole is_pid brightgreen + keyword whole is_port brightgreen + keyword whole is_process_alive brightgreen + keyword whole is_record brightgreen + keyword whole is_reference brightgreen + keyword whole is_tuple brightgreen + keyword whole length brightgreen + keyword whole link brightgreen + keyword whole list_to_atom brightgreen + keyword whole list_to_binary brightgreen + keyword whole list_to_float brightgreen + keyword whole list_to_integer brightgreen + keyword whole list_to_pid brightgreen + keyword whole list_to_tuple brightgreen + keyword whole load_module brightgreen + keyword whole loaded brightgreen + keyword whole localtime brightgreen + keyword whole make_ref brightgreen + keyword whole module_loaded brightgreen + keyword whole node brightgreen + keyword whole nodes brightgreen + keyword whole now brightgreen + keyword whole open_port brightgreen + keyword whole pid_to_list brightgreen + keyword whole port_close brightgreen + keyword whole port_command brightgreen + keyword whole port_connect brightgreen + keyword whole port_control brightgreen + keyword whole ports brightgreen + keyword whole pre_loaded brightgreen + keyword whole process_flag brightgreen + keyword whole process_info brightgreen + keyword whole processes brightgreen + keyword whole purge_module brightgreen + keyword whole put brightgreen + keyword whole register brightgreen + keyword whole registered brightgreen + keyword whole round brightgreen + keyword whole self brightgreen + keyword whole setelement brightgreen + keyword whole size brightgreen + keyword whole spawn brightgreen + keyword whole spawn_link brightgreen + keyword whole spawn_opt brightgreen + keyword whole split_binary brightgreen + keyword whole statistics brightgreen + keyword whole term_to_binary brightgreen + keyword whole throw brightgreen + keyword whole time brightgreen + keyword whole tl brightgreen + keyword whole trunc brightgreen + keyword whole tuple_to_list brightgreen + keyword whole unlink brightgreen + keyword whole unregister brightgreen + keyword whole whereis brightgreen + + keyword whole gen_server:cast brightgreen + keyword whole gen_server:call brightgreen + keyword whole gen_server:reply brightgreen + keyword whole gen_server:start_link brightgreen + keyword whole gen_server:start brightgreen + keyword whole io:format brightgreen + + keyword whole init white + keyword whole handle_cast white + keyword whole handle_call white + keyword whole handle_info white + keyword whole handle_event white + keyword whole handle_sync_event white + keyword whole terminate white + keyword whole code_change white + +# list comprehension + keyword <- yellow +# clause + keyword -> yellow +# guards + keyword =:= brown + keyword == brown + keyword /= brown + keyword =/= brown +# binary + keyword << brightcyan + keyword >> brightcyan +# guards + keyword < brown + keyword =< brown + keyword >= brown + keyword > brown +# ops + keyword - yellow + keyword \+ yellow + keyword / yellow + keyword \* yellow + + keyword ; brightcyan + keyword , brightcyan + keyword . brightcyan + keyword = yellow + keyword % brown + + keyword \+\+ yellow + keyword -- yellow + keyword ! yellow +# TODO this does not work for $" or $', use $\" or $\' instead. ? + keyword $\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_{}[]()(\\/`~!@#$%^&\*+-=;:'"|,./?<>\} red + keyword $\ red + +# keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen + +# TODO: integers, inegers of form Base#digits, 2#1010101 +# todo: records access via #state{} + + keyword whole ?MODULE red + keyword whole ?LINE red + keyword whole ?FILE red + keyword whole ?\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_@\] red + +# gray operatory +# cyan - moduly + + + keyword whole true red + keyword whole false red + + keyword [ brightcyan + keyword || brightcyan + keyword | brightcyan + keyword ] brightcyan + keyword ( brightcyan + keyword ) brightcyan + keyword { cyan + keyword } cyan + + keyword whole lists:seq gray + keyword whole lists:map gray + keyword whole lists:filter gray + keyword whole lists:reduce gray + keyword whole lists:foldl gray + keyword whole lists:foldr gray + keyword whole lists:append gray + keyword whole lists:nth gray + keyword whole behaviour_info gray + keyword whole dict:find gray + keyword whole dict:is_key gray + keyword whole dict:erase gray + keyword whole dict:new gray + keyword whole dict:map gray + keyword whole dict:store gray + keyword whole dict:fetch_keys gray + keyword whole dict:append gray + keyword whole dict:update gray + keyword whole dict:update_counter gray + keyword whole dict:fold gray + keyword whole dict:to_list gray + keyword whole dict:fetch gray + +# todo: no more than one dot in atom, and not at the end + keyword whole \{abcdefghijklmnoprqstuvwxyz\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_.@\] lightgray + keyword whole \{_ABCDEFGHIJKLMNOPRQSTUVWXYZ\}\[abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ0123456789_@\] white + +context exclusive % \n brown + spellcheck + +context " " green + spellcheck + keyword ~p brightgreen + keyword ~n brightgreen + keyword ~w brightgreen + keyword ~~ brightgreen + keyword \\" brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\{01234567\}\{01234567\} brightgreen + keyword \\\{01234567\} brightgreen + keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{bdefrstv\} brightgreen + keyword \\^\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ\} brightgreen + +context ' ' red + keyword \\" brightred + keyword \\\{0123\}\{01234567\}\{01234567\} brightred + keyword \\\{01234567\}\{01234567\} brightred + keyword \\\{01234567\} brightred + keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightred + keyword \\\\ brightred + keyword \\' brightred + keyword \\\{bdefrstv\} brightred + keyword \\^\{abcdefghijklmnoprqstuvwxyzABCDEFGHIJKLMNOPRQSTUVWXYZ\} brightred diff --git a/misc/syntax/f90.syntax b/misc/syntax/f90.syntax new file mode 100644 index 0000000..31e74bc --- /dev/null +++ b/misc/syntax/f90.syntax @@ -0,0 +1,278 @@ +# --------------------------------------------------- +# f90.syntax +# FORTRAN 90/95 language freeform syntax highlighting template. +# Provides syntax highlighting rules for the +# FORTRAN programming language. +# +# Based on FORTRAN77 version fortran.syntax +# Author: John Theal +# Email: jtheal@renegadelinuxbox.dhs.org +# December 7, 1999 +# --------------------------------------------------- + +# Heavily modified by Paul Sheer . +# Adjusted to Fortran 90 by Norbert Nemec . + +caseinsensitive + +context default +# keywords at the beginning of line + keyword linestart \{0123456789\}\{0123456789\}\{0123456789\}\{0123456789\}\{0123456789\} brightred + keyword linestart \{0123456789\}\{0123456789\}\{0123456789\}\{0123456789\} brightred + keyword linestart \{0123456789\}\{0123456789\}\{0123456789\} brightred + keyword linestart \{0123456789\}\{0123456789\} brightred + keyword linestart \{0123456789\} brightred + +# structure + keyword whole ASSIGNMENT white black + keyword whole CONTAINS white black + keyword whole END white black + keyword whole FUNCTION white black + keyword whole INTERFACE white black + keyword whole INCLUDE white black + keyword whole MODULE white black + keyword whole OPERATOR white black + keyword whole PROGRAM white black + keyword whole SUBROUTINE white black + +# boolean and logical expressions / operators + keyword .and. brightred/orange + keyword .eq. brightred/orange + keyword .eqv. brightred/orange + keyword .false. brightred/orange + keyword .ge. brightred/orange + keyword .gt. brightred/orange + keyword .le. brightred/orange + keyword .lt. brightred/orange + keyword .ne. brightred/orange + keyword .neqv. brightred/orange + keyword .not. brightred/orange + keyword .or. brightred/orange + keyword .true. brightred/orange + keyword whole lge brightred/orange + keyword whole lgt brightred/orange + keyword whole lle brightred/orange + keyword whole llt brightred/orange + +# declarations + keyword whole ALLOCATABLE brightcyan + keyword whole BLOCK brightcyan + keyword whole CHARACTER brightcyan + keyword whole COMMON brightcyan + keyword whole COMPLEX brightcyan + keyword whole DATA brightcyan + keyword whole DIMENSION brightcyan + keyword whole DOUBLE brightcyan + keyword whole ELEMENTAL brightcyan + keyword whole EQUIVALENCE brightcyan + keyword whole EXTERNAL brightcyan + keyword whole FORMAT brightcyan + keyword whole IMPLICIT brightcyan + keyword whole INTEGER brightcyan + keyword whole INTENT brightcyan + keyword whole INTRINSIC brightcyan + keyword whole LOGICAL brightcyan + keyword whole NAMELIST brightcyan + keyword whole NONE brightcyan + keyword whole ONLY brightcyan + keyword whole OPTIONAL brightcyan + keyword whole PARAMETER brightcyan + keyword whole POINTER brightcyan + keyword whole PRECISION brightcyan + keyword whole PRIVATE brightcyan + keyword whole PUBLIC brightcyan + keyword whole PURE brightcyan + keyword whole REAL brightcyan + keyword whole SAVE brightcyan + keyword whole TYPE brightcyan + keyword whole USE brightcyan + +# general programming syntax + keyword whole allocate brightgreen + keyword whole assign brightgreen + keyword whole call brightgreen + keyword whole case brightgreen + keyword whole deallocate brightgreen + keyword whole default brightgreen + keyword whole continue brightgreen + keyword whole cycle brightgreen + keyword whole do brightgreen + keyword whole else brightgreen + keyword whole elseif brightgreen + keyword whole elsewhere brightgreen + keyword whole end brightgreen + keyword whole enddo brightgreen + keyword whole endif brightgreen + keyword whole exit brightgreen + keyword whole for brightgreen + keyword whole forall brightgreen + keyword whole goto brightgreen + keyword whole if brightgreen + keyword whole nullify brightgreen + keyword whole pause brightgreen + keyword whole return brightgreen + keyword whole select brightgreen + keyword whole stop brightgreen + keyword whole target brightgreen + keyword whole then brightgreen + keyword whole to brightgreen + keyword whole where brightgreen + keyword whole while brightgreen + +# headers + keyword whole entry brightgreen + +# I/O functions +# may have optional equal signs after them: 'keyword =' + keyword whole access brightmagenta + keyword whole backspace brightmagenta + keyword whole blank brightmagenta + keyword whole close brightmagenta + keyword whole direct brightmagenta + keyword whole endfile brightmagenta + keyword whole err brightmagenta + keyword whole exist brightmagenta + keyword whole file brightmagenta + keyword whole fmt brightmagenta + keyword whole form brightmagenta + keyword whole formatted brightmagenta + keyword whole inquire brightmagenta + keyword whole iostat brightmagenta + keyword whole name brightmagenta + keyword whole named brightmagenta + keyword whole nextrec brightmagenta + keyword whole number brightmagenta + keyword whole open brightmagenta + keyword whole opened brightmagenta + keyword whole print brightmagenta + keyword whole read brightmagenta + keyword whole rec brightmagenta + keyword whole recl brightmagenta + keyword whole rewind brightmagenta + keyword whole sequential brightmagenta + keyword whole status brightmagenta + keyword whole unformatted brightmagenta + keyword whole unit brightmagenta + keyword whole write brightmagenta + +# mathematical functions + keyword whole abs yellow + keyword whole achar yellow + keyword whole acos yellow + keyword whole aimag yellow + keyword whole aint yellow + keyword whole alog yellow + keyword whole alog10 yellow + keyword whole amax0 yellow + keyword whole amax1 yellow + keyword whole amin0 yellow + keyword whole amin1 yellow + keyword whole amod yellow + keyword whole anint yellow + keyword whole aprime yellow + keyword whole asin yellow + keyword whole atan yellow + keyword whole atan2 yellow + keyword whole acos yellow + keyword whole cabs yellow + keyword whole ceiling yellow + keyword whole cexp yellow + keyword whole char yellow + keyword whole clog yellow + keyword whole cmplx yellow + keyword whole conjg yellow + keyword whole cos yellow + keyword whole cosh yellow + keyword whole ccos yellow + keyword whole csin yellow + keyword whole csqrt yellow + keyword whole dabs yellow + keyword whole dacos yellow + keyword whole dasin yellow + keyword whole datan yellow + keyword whole datan2 yellow + keyword whole dble yellow + keyword whole dcos yellow + keyword whole dcosh yellow + keyword whole dfloat yellow + keyword whole ddmim yellow + keyword whole dexp yellow + keyword whole dim yellow + keyword whole dint yellow + keyword whole dlog yellow + keyword whole dlog10 yellow + keyword whole dmax1 yellow + keyword whole dmin1 yellow + keyword whole dmod yellow + keyword whole dnint yellow + keyword whole dsign yellow + keyword whole dsin yellow + keyword whole dsinh yellow + keyword whole dsqrt yellow + keyword whole dtan yellow + keyword whole dtanh yellow + keyword whole exp yellow + keyword whole float yellow + keyword whole floor yellow + keyword whole iabs yellow + keyword whole iachar yellow + keyword whole ichar yellow + keyword whole idim yellow + keyword whole idint yellow + keyword whole ifix yellow + keyword whole index yellow + keyword whole int yellow + keyword whole isign yellow + keyword whole len yellow + keyword whole log yellow + keyword whole log10 yellow + keyword whole matmul yellow + keyword whole max yellow + keyword whole max0 yellow + keyword whole max1 yellow + keyword whole maxval yellow + keyword whole min yellow + keyword whole min0 yellow + keyword whole min1 yellow + keyword whole minval yellow + keyword whole mod yellow + keyword whole nint yellow + keyword whole product yellow + keyword whole rand yellow + keyword whole random_number yellow + keyword whole random_seed yellow + keyword whole sign yellow + keyword whole sin yellow + keyword whole sinh yellow + keyword whole sngl yellow + keyword whole sqrt yellow + keyword whole sum yellow + keyword whole tan yellow + keyword whole tanh yellow + keyword whole transpose yellow + +# operators and syntax elements + keyword ( brightcyan + keyword ) brightcyan + keyword : brightcyan + keyword , brightcyan + keyword ; brightcyan + keyword \+ yellow + keyword - yellow + keyword \* yellow + keyword / yellow + keyword = yellow + keyword < yellow + keyword > yellow + +# comments and quotes + keyword '*' green + keyword "*" green + +#context &\n \n black cyan + keyword &\n black cyan + +context format( ) green + +context ! \n brown + spellcheck diff --git a/misc/syntax/filehighlight.syntax b/misc/syntax/filehighlight.syntax new file mode 100644 index 0000000..6e48ca6 --- /dev/null +++ b/misc/syntax/filehighlight.syntax @@ -0,0 +1,91 @@ +# Syntax highlighting rules for file highlighting rules + +# Use \[\] at the end of keywords to suppress their special meaning + +context default + keyword whole black black blue + keyword whole red red + keyword whole green green + keyword whole brown brown + keyword whole blue blue black + keyword whole magenta magenta + keyword whole cyan cyan + keyword whole lightgray lightgray + keyword whole gray gray black + keyword whole brightred brightred + keyword whole brightgreen brightgreen + keyword whole yellow yellow + keyword whole brightblue brightblue black + keyword whole brightmagenta brightmagenta + keyword whole brightcyan brightcyan + keyword whole white white + + keyword = brightcyan + keyword ; brightmagenta + keyword whole extensions yellow + keyword whole extensions_case yellow + keyword whole type yellow + keyword DEVICE brightred + keyword DIR brightred + keyword FILE_EXE brightred + keyword SPECIAL brightred + keyword STALE_LINK brightred + keyword SYMLINK brightred + keyword HARDLINK brightred + +context linestart # \n brown + +context linestart [ ] white + +context regexp= \n lightgray + keyword = brightcyan + keyword whole regexp yellow + +# regexp patterns + keyword \\\/ brightcyan + keyword \\\\ brightcyan + keyword \\B brightcyan + keyword \\b brightcyan + keyword \\D brightcyan + keyword \\d brightcyan + keyword \\S brightcyan + keyword \\s brightcyan + keyword \\W brightcyan + keyword \\w brightcyan + keyword \\A brightcyan + keyword \\Z brightcyan + + keyword \\( brightcyan + keyword \\) brightcyan + keyword \\[ brightcyan + keyword \\] brightcyan + keyword \\{ brightcyan + keyword \\} brightcyan + keyword \\$ brightcyan + keyword \\\+ brightcyan + keyword \\. brightcyan + keyword \\? brightcyan + keyword \\\* brightcyan + keyword \\^ brightcyan + keyword \\| brightcyan + + keyword ( brightmagenta + keyword ) brightmagenta + keyword [ brightmagenta + keyword ] brightmagenta + keyword { brightmagenta + keyword } brightmagenta + + keyword ?! yellow + keyword ?# yellow + keyword ?: yellow + keyword ?= yellow + keyword ?\{-imx:\}+ yellow + + keyword $ yellow + keyword \+ yellow + keyword . yellow + keyword ? yellow + keyword \* yellow + keyword ^ yellow + keyword | yellow diff --git a/misc/syntax/fortran.syntax b/misc/syntax/fortran.syntax new file mode 100644 index 0000000..451500a --- /dev/null +++ b/misc/syntax/fortran.syntax @@ -0,0 +1,245 @@ +# --------------------------------------------------- +# fortran.syntax +# FORTRAN language syntax highlighting template. +# Provides syntax highlighting rules for the +# FORTRAN programming language. +# +# Author: John Theal +# Email: jtheal@renegadelinuxbox.dhs.org +# December 7, 1999 +# --------------------------------------------------- + +# Heavily modified by Paul Sheer . + +caseinsensitive + +context default +# keywords at the beginning of line + keyword linestart ! black yellow + keyword linestart \* black yellow + keyword linestart c black yellow + keyword linestart C black yellow + + keyword linestart \s\s\s\s\s\* yellow red + keyword linestart \s\s\s\s\s\+ yellow red + + keyword linestart \s\s\s\s\s\s black brightcyan/blue3 + + keyword linestart 0\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart 1\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart 2\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart 3\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart 4\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart 5\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart 6\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart 7\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart 8\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart 9\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + + keyword linestart \s\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart \s\s\{0123456789\s\}\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart \s\s\s\{0123456789\s\}\{0123456789\s\}\s white brightcyan + keyword linestart \s\s\s\s\{0123456789\s\}\s white brightcyan + +# boolean and logical expressions / operators + keyword .and. brightred/orange + keyword .eq. brightred/orange + keyword .eqv. brightred/orange + keyword .false. brightred/orange + keyword .ge. brightred/orange + keyword .gt. brightred/orange + keyword .le. brightred/orange + keyword .lt. brightred/orange + keyword .ne. brightred/orange + keyword .neqv. brightred/orange + keyword .not. brightred/orange + keyword .or. brightred/orange + keyword .true. brightred/orange + keyword whole lge brightred/orange + keyword whole lgt brightred/orange + keyword whole lle brightred/orange + keyword whole llt brightred/orange + +# declarations + keyword whole block brightcyan + keyword whole character brightcyan + keyword whole common brightcyan + keyword whole complex brightcyan + keyword whole data brightcyan + keyword whole dimension brightcyan + keyword whole double brightcyan + keyword whole external brightcyan + keyword whole format brightcyan + keyword whole implicit brightcyan + keyword whole integer brightcyan + keyword whole intrinsic brightcyan + keyword whole logical brightcyan + keyword whole none brightcyan + keyword whole parameter brightcyan + keyword whole precision brightcyan + keyword whole real brightcyan + +# general programming syntax + keyword whole assign brightgreen + keyword whole call brightgreen + keyword whole continue brightgreen + keyword whole do brightgreen + keyword whole else brightgreen + keyword whole elseif brightgreen + keyword whole end brightgreen + keyword whole enddo brightgreen + keyword whole endif brightgreen + keyword whole for brightgreen + keyword whole goto brightgreen + keyword whole if brightgreen + keyword whole pause brightgreen + keyword whole return brightgreen + keyword whole stop brightgreen + keyword whole then brightgreen + keyword whole to brightgreen + keyword whole while brightgreen + +# headers + keyword whole entry brightgreen + keyword whole function yellow + keyword whole program yellow + keyword whole subroutine yellow + +# I/O functions +# may have optional equal signs after them: 'keyword =' + keyword whole access brightmagenta + keyword whole backspace brightmagenta + keyword whole blank brightmagenta + keyword whole close brightmagenta + keyword whole direct brightmagenta + keyword whole endfile brightmagenta + keyword whole err brightmagenta + keyword whole exist brightmagenta + keyword whole file brightmagenta + keyword whole fmt brightmagenta + keyword whole form brightmagenta + keyword whole formatted brightmagenta + keyword whole inquire brightmagenta + keyword whole iostat brightmagenta + keyword whole name brightmagenta + keyword whole named brightmagenta + keyword whole nextrec brightmagenta + keyword whole number brightmagenta + keyword whole open brightmagenta + keyword whole opened brightmagenta + keyword whole print brightmagenta + keyword whole read brightmagenta + keyword whole rec brightmagenta + keyword whole recl brightmagenta + keyword whole rewind brightmagenta + keyword whole sequential brightmagenta + keyword whole status brightmagenta + keyword whole unformatted brightmagenta + keyword whole unit brightmagenta + keyword whole write brightmagenta + +# mathematical functions + keyword whole abs yellow + keyword whole acos yellow + keyword whole aimag yellow + keyword whole aint yellow + keyword whole alog yellow + keyword whole alog10 yellow + keyword whole amax0 yellow + keyword whole amax1 yellow + keyword whole amin0 yellow + keyword whole amin1 yellow + keyword whole amod yellow + keyword whole anint yellow + keyword whole aprime yellow + keyword whole asin yellow + keyword whole atan yellow + keyword whole atan2 yellow + keyword whole acos yellow + keyword whole cabs yellow + keyword whole cexp yellow + keyword whole char yellow + keyword whole clog yellow + keyword whole cmplx yellow + keyword whole conjg yellow + keyword whole cos yellow + keyword whole cosh yellow + keyword whole ccos yellow + keyword whole csin yellow + keyword whole csqrt yellow + keyword whole dabs yellow + keyword whole dacos yellow + keyword whole dasin yellow + keyword whole datan yellow + keyword whole datan2 yellow + keyword whole dble yellow + keyword whole dcos yellow + keyword whole dcosh yellow + keyword whole dfloat yellow + keyword whole ddmim yellow + keyword whole dexp yellow + keyword whole dim yellow + keyword whole dint yellow + keyword whole dlog yellow + keyword whole dlog10 yellow + keyword whole dmax1 yellow + keyword whole dmin1 yellow + keyword whole dmod yellow + keyword whole dnint yellow + keyword whole dsign yellow + keyword whole dsin yellow + keyword whole dsinh yellow + keyword whole dsqrt yellow + keyword whole dtan yellow + keyword whole dtanh yellow + keyword whole equivalence yellow + keyword whole exp yellow + keyword whole float yellow + keyword whole iabs yellow + keyword whole ichar yellow + keyword whole idim yellow + keyword whole idint yellow + keyword whole ifix yellow + keyword whole index yellow + keyword whole int yellow + keyword whole isign yellow + keyword whole len yellow + keyword whole log yellow + keyword whole log10 yellow + keyword whole max yellow + keyword whole max0 yellow + keyword whole max1 yellow + keyword whole min yellow + keyword whole min0 yellow + keyword whole min1 yellow + keyword whole mod yellow + keyword whole rand yellow + keyword whole sign yellow + keyword whole sin yellow + keyword whole sinh yellow + keyword whole sngl yellow + keyword whole sqrt yellow + keyword whole tan yellow + keyword whole tanh yellow + +# operators and syntax elements + keyword ( brightcyan + keyword ) brightcyan + keyword , brightcyan + keyword \+ yellow + keyword - yellow + keyword \* yellow + keyword / yellow + keyword = yellow + +# comments and quotes + keyword '*' green + +context exclusive linestart ! \n brown + spellcheck +context exclusive linestart \* \n brown + spellcheck +context exclusive linestart c \n brown + spellcheck +context exclusive linestart C \n brown + spellcheck diff --git a/misc/syntax/glsl.syntax b/misc/syntax/glsl.syntax new file mode 100644 index 0000000..48cca1b --- /dev/null +++ b/misc/syntax/glsl.syntax @@ -0,0 +1,452 @@ +# GLSL syntax file + +# Authors: +# Sergey Sharybin +# +# Based on glsl-mode.el from +# Xavier.Decoret@imag.fr +# Jim Hourihan + +context default + +# Types + + keyword whole float yellow + keyword whole double yellow + keyword whole int yellow + keyword whole void yellow + keyword whole bool yellow + keyword whole true yellow + keyword whole false yellow + keyword whole mat2 yellow + keyword whole mat3 yellow + keyword whole mat4 yellow + keyword whole dmat2 yellow + keyword whole dmat3 yellow + keyword whole dmat4 yellow + keyword whole mat2x2 yellow + keyword whole mat2x3 yellow + keyword whole mat2x4 yellow + keyword whole dmat2x2 yellow + keyword whole dmat2x3 yellow + keyword whole dmat2x4 yellow + keyword whole mat3x2 yellow + keyword whole mat3x3 yellow + keyword whole mat3x4 yellow + keyword whole dmat3x2 yellow + keyword whole dmat3x3 yellow + keyword whole dmat3x4 yellow + keyword whole mat4x2 yellow + keyword whole mat4x3 yellow + keyword whole mat4x4 yellow + keyword whole dmat4x2 yellow + keyword whole dmat4x3 yellow + keyword whole dmat4x4 yellow + keyword whole vec2 yellow + keyword whole vec3 yellow + keyword whole vec4 yellow + keyword whole ivec2 yellow + keyword whole ivec3 yellow + keyword whole ivec4 yellow + keyword whole bvec2 yellow + keyword whole bvec3 yellow + keyword whole bvec4 yellow + keyword whole dvec2 yellow + keyword whole dvec3 yellow + keyword whole dvec4 yellow + keyword whole uint yellow + keyword whole uvec2 yellow + keyword whole uvec3 yellow + keyword whole uvec4 yellow + keyword whole sampler1D yellow + keyword whole sampler2D yellow + keyword whole sampler3D yellow + keyword whole samplerCube yellow + keyword whole sampler1DShadow yellow + keyword whole sampler2DShadow yellow + keyword whole samplerCubeShadow yellow + keyword whole sampler1DArray yellow + keyword whole sampler2DArray yellow + keyword whole sampler1DArrayShadow yellow + keyword whole sampler2DArrayShadow yellow + keyword whole isampler1D yellow + keyword whole isampler2D yellow + keyword whole isampler3D yellow + keyword whole isamplerCube yellow + keyword whole isampler1DArray yellow + keyword whole isampler2DArray yellow + keyword whole usampler1D yellow + keyword whole usampler2D yellow + keyword whole usampler3D yellow + keyword whole usamplerCube yellow + keyword whole usampler1DArray yellow + keyword whole usampler2DArray yellow + keyword whole sampler2DRect yellow + keyword whole sampler2DRectShadow yellow + keyword whole isampler2DRect yellow + keyword whole usampler2DRect yellow + keyword whole samplerBuffer yellow + keyword whole isamplerBuffer yellow + keyword whole usamplerBuffer yellow + keyword whole sampler2DMS yellow + keyword whole isampler2DMS yellow + keyword whole usampler2DMS yellow + keyword whole sampler2DMSArray yellow + keyword whole isampler2DMSArray yellow + keyword whole usampler2DMSArray yellow + keyword whole samplerCubeArray yellow + keyword whole samplerCubeArrayShadow yellow + keyword whole isamplerCubeArray yellow + keyword whole usamplerCubeArray yellow + keyword whole image1D yellow + keyword whole iimage1D yellow + keyword whole uimage1D yellow + keyword whole image2D yellow + keyword whole iimage2D yellow + keyword whole uimage2D yellow + keyword whole image3D yellow + keyword whole iimage3D yellow + keyword whole uimage3D yellow + keyword whole image2DRect yellow + keyword whole iimage2DRect yellow + keyword whole uimage2DRect yellow + keyword whole imageCube yellow + keyword whole iimageCube yellow + keyword whole uimageCube yellow + keyword whole imageBuffer yellow + keyword whole iimageBuffer yellow + keyword whole uimageBuffer yellow + keyword whole image1DArray yellow + keyword whole iimage1DArray yellow + keyword whole uimage1DArray yellow + keyword whole image2DArray yellow + keyword whole iimage2DArray yellow + keyword whole uimage2DArray yellow + keyword whole imageCubeArray yellow + keyword whole iimageCubeArray yellow + keyword whole uimageCubeArray yellow + keyword whole image2DMS yellow + keyword whole iimage2DMS yellow + keyword whole uimage2DMS yellow + keyword whole image2DMSArray yellow + keyword whole iimage2DMSArray yellow + keyword whole uimage2DMSArray yellow + keyword whole long yellow + keyword whole short yellow + keyword whole half yellow + keyword whole fixed yellow + keyword whole unsigned yellow + keyword whole hvec2 yellow + keyword whole hvec3 yellow + keyword whole hvec4 yellow + keyword whole fvec2 yellow + keyword whole fvec3 yellow + keyword whole fvec4 yellow + keyword whole sampler3DRect yellow + +# Modifiers + + keyword whole attribute yellow + keyword whole const yellow + keyword whole uniform yellow + keyword whole varying yellow + keyword whole buffer yellow + keyword whole shared yellow + keyword whole coherent yellow + keyword whole volatile yellow + keyword whole restrict yellow + keyword whole readonly yellow + keyword whole writeonly yellow + keyword whole atomic_uint yellow + keyword whole layout yellow + keyword whole centroid yellow + keyword whole flat yellow + keyword whole smooth yellow + keyword whole noperspective yellow + keyword whole patch yellow + keyword whole sample yellow + keyword whole break yellow + keyword whole continue yellow + keyword whole do yellow + keyword whole for yellow + keyword whole while yellow + keyword whole switch yellow + keyword whole case yellow + keyword whole default yellow + keyword whole if yellow + keyword whole else yellow + keyword whole subroutine yellow + keyword whole in yellow + keyword whole out yellow + keyword whole inout yellow + keyword whole invariant yellow + keyword whole discard yellow + keyword whole return yellow + keyword whole lowp yellow + keyword whole mediump yellow + keyword whole highp yellow + keyword whole precision yellow + keyword whole struct yellow + keyword whole common yellow + keyword whole partition yellow + keyword whole active yellow + keyword whole asm yellow + keyword whole class yellow + keyword whole union yellow + keyword whole enum yellow + keyword whole typedef yellow + keyword whole template yellow + keyword whole this yellow + keyword whole packed yellow + keyword whole resource yellow + keyword whole goto yellow + keyword whole inline yellow + keyword whole noinline yellow + keyword whole public yellow + keyword whole static yellow + keyword whole extern yellow + keyword whole external yellow + keyword whole interface yellow + keyword whole superp yellow + keyword whole input yellow + keyword whole output yellow + keyword whole filter yellow + keyword whole sizeof yellow + keyword whole cast yellow + keyword whole namespace yellow + keyword whole using yellow + keyword whole row_major yellow + keyword whole early_fragment_tests yellow + +# Deprecated + keyword whole varying brightred + keyword whole attribute brightred + +# Built-in + keyword whole abs brightmagenta + keyword whole acos brightmagenta + keyword whole acosh brightmagenta + keyword whole all brightmagenta + keyword whole any brightmagenta + keyword whole asin brightmagenta + keyword whole asinh brightmagenta + keyword whole atan brightmagenta + keyword whole atanh brightmagenta + keyword whole atomicCounter brightmagenta + keyword whole atomicCounterDecrement brightmagenta + keyword whole atomicCounterIncrement brightmagenta + keyword whole barrier brightmagenta + keyword whole bitCount brightmagenta + keyword whole bitfieldExtract brightmagenta + keyword whole bitfieldInsert brightmagenta + keyword whole bitfieldReverse brightmagenta + keyword whole ceil brightmagenta + keyword whole clamp brightmagenta + keyword whole cos brightmagenta + keyword whole cosh brightmagenta + keyword whole cross brightmagenta + keyword whole degrees brightmagenta + keyword whole determinant brightmagenta + keyword whole dFdx brightmagenta + keyword whole dFdy brightmagenta + keyword whole dFdyFine brightmagenta + keyword whole dFdxFine brightmagenta + keyword whole dFdyCoarse brightmagenta + keyword whole dFdxCourse brightmagenta + keyword whole fwidthFine brightmagenta + keyword whole fwidthCoarse brightmagenta + keyword whole distance brightmagenta + keyword whole dot brightmagenta + keyword whole EmitStreamVertex brightmagenta + keyword whole EmitVertex brightmagenta + keyword whole EndPrimitive brightmagenta + keyword whole EndStreamPrimitive brightmagenta + keyword whole equal brightmagenta + keyword whole exp brightmagenta + keyword whole exp2 brightmagenta + keyword whole faceforward brightmagenta + keyword whole findLSB brightmagenta + keyword whole findMSB brightmagenta + keyword whole floatBitsToInt brightmagenta + keyword whole floatBitsToUint brightmagenta + keyword whole floor brightmagenta + keyword whole fma brightmagenta + keyword whole fract brightmagenta + keyword whole frexp brightmagenta + keyword whole fwidth brightmagenta + keyword whole greaterThan brightmagenta + keyword whole greaterThanEqual brightmagenta + keyword whole imageAtomicAdd brightmagenta + keyword whole imageAtomicAnd brightmagenta + keyword whole imageAtomicCompSwap brightmagenta + keyword whole imageAtomicExchange brightmagenta + keyword whole imageAtomicMax brightmagenta + keyword whole imageAtomicMin brightmagenta + keyword whole imageAtomicOr brightmagenta + keyword whole imageAtomicXor brightmagenta + keyword whole imageLoad brightmagenta + keyword whole imageSize brightmagenta + keyword whole imageStore brightmagenta + keyword whole imulExtended brightmagenta + keyword whole intBitsToFloat brightmagenta + keyword whole imageSamples brightmagenta + keyword whole interpolateAtCentroid brightmagenta + keyword whole interpolateAtOffset brightmagenta + keyword whole interpolateAtSample brightmagenta + keyword whole inverse brightmagenta + keyword whole inversesqrt brightmagenta + keyword whole isinf brightmagenta + keyword whole isnan brightmagenta + keyword whole ldexp brightmagenta + keyword whole length brightmagenta + keyword whole lessThan brightmagenta + keyword whole lessThanEqual brightmagenta + keyword whole log brightmagenta + keyword whole log2 brightmagenta + keyword whole matrixCompMult brightmagenta + keyword whole max brightmagenta + keyword whole memoryBarrier brightmagenta + keyword whole min brightmagenta + keyword whole mix brightmagenta + keyword whole mod brightmagenta + keyword whole modf brightmagenta + keyword whole noise brightmagenta + keyword whole normalize brightmagenta + keyword whole not brightmagenta + keyword whole notEqual brightmagenta + keyword whole outerProduct brightmagenta + keyword whole packDouble2x32 brightmagenta + keyword whole packHalf2x16 brightmagenta + keyword whole packSnorm2x16 brightmagenta + keyword whole packSnorm4x8 brightmagenta + keyword whole packUnorm2x16 brightmagenta + keyword whole packUnorm4x8 brightmagenta + keyword whole pow brightmagenta + keyword whole radians brightmagenta + keyword whole reflect brightmagenta + keyword whole refract brightmagenta + keyword whole round brightmagenta + keyword whole roundEven brightmagenta + keyword whole sign brightmagenta + keyword whole sin brightmagenta + keyword whole sinh brightmagenta + keyword whole smoothstep brightmagenta + keyword whole sqrt brightmagenta + keyword whole step brightmagenta + keyword whole tan brightmagenta + keyword whole tanh brightmagenta + keyword whole texelFetch brightmagenta + keyword whole texelFetchOffset brightmagenta + keyword whole texture brightmagenta + keyword whole textureGather brightmagenta + keyword whole textureGatherOffset brightmagenta + keyword whole textureGatherOffsets brightmagenta + keyword whole textureGrad brightmagenta + keyword whole textureGradOffset brightmagenta + keyword whole textureLod brightmagenta + keyword whole textureLodOffset brightmagenta + keyword whole textureOffset brightmagenta + keyword whole textureProj brightmagenta + keyword whole textureProjGrad brightmagenta + keyword whole textureProjGradOffset brightmagenta + keyword whole textureProjLod brightmagenta + keyword whole textureProjLodOffset brightmagenta + keyword whole textureProjOffset brightmagenta + keyword whole textureQueryLevels brightmagenta + keyword whole textureQueryLod brightmagenta + keyword whole textureSize brightmagenta + keyword whole transpose brightmagenta + keyword whole trunc brightmagenta + keyword whole uaddCarry brightmagenta + keyword whole uintBitsToFloat brightmagenta + keyword whole umulExtended brightmagenta + keyword whole unpackDouble2x32 brightmagenta + keyword whole unpackHalf2x16 brightmagenta + keyword whole unpackSnorm2x16 brightmagenta + keyword whole unpackSnorm4x8 brightmagenta + keyword whole unpackUnorm2x16 brightmagenta + keyword whole unpackUnorm4x8 brightmagenta + keyword whole usubBorrow brightmagenta + +# Deprecated built-in + keyword whole texture1D magenta + keyword whole texture1DProj magenta + keyword whole texture1DLod magenta + keyword whole texture1DProjLod magenta + keyword whole texture2D magenta + keyword whole texture2DProj magenta + keyword whole texture2DLod magenta + keyword whole texture2DProjLod magenta + keyword whole texture2DRect magenta + keyword whole texture2DRectProj magenta + keyword whole texture3D magenta + keyword whole texture3DProj magenta + keyword whole texture3DLod magenta + keyword whole texture3DProjLod magenta + keyword whole shadow1D magenta + keyword whole shadow1DProj magenta + keyword whole shadow1DLod magenta + keyword whole shadow1DProjLod magenta + keyword whole shadow2D magenta + keyword whole shadow2DProj magenta + keyword whole shadow2DLod magenta + keyword whole shadow2DProjLod magenta + keyword whole textureCube magenta + keyword whole textureCubeLod magenta + +# Deprecated variables + + keyword whole gl_FragColor red + keyword whole gl_FragData red + keyword whole gl_MaxVarying red + keyword whole gl_MaxVaryingFloats red + keyword whole gl_MaxVaryingComponents red + +# Comments + keyword /\* brown + keyword \*/ brown + keyword // brown + +# Preprocessor + keyword # brightred + +# Punctuation + keyword > white + keyword < white + keyword \+ white + keyword - white + keyword \* white + keyword / white + keyword % white + keyword = white + keyword != white + keyword == white + keyword & white + keyword | white + keyword :: white + keyword -> white + keyword { brightcyan + keyword } brightcyan + keyword ( brightcyan + keyword ) brightcyan + keyword [ brightcyan + keyword ] brightcyan + keyword , brightcyan + keyword : brightcyan + keyword ; brightmagenta + +context exclusive # \n brightred + keyword \\\n yellow + keyword /\**\*/ brown + keyword //*\n brown + keyword "+" red + keyword <+> red +context exclusive /\* \*/ brown + spellcheck +context exclusive // \n brown + spellcheck + +context " " green + spellcheck +context ' ' brightgreen + spellcheck diff --git a/misc/syntax/go.syntax b/misc/syntax/go.syntax new file mode 100644 index 0000000..5e2b1b7 --- /dev/null +++ b/misc/syntax/go.syntax @@ -0,0 +1,158 @@ +context default +# keywords + keyword whole break yellow + keyword whole case yellow + keyword whole chan yellow + keyword whole const yellow + keyword whole continue yellow + keyword whole default yellow + keyword whole defer yellow + keyword whole else yellow + keyword whole fallthrough yellow + keyword whole for yellow + keyword whole func yellow + keyword whole go yellow + keyword whole goto yellow + keyword whole if yellow + keyword whole import yellow + keyword whole interface yellow + keyword whole map yellow + keyword whole package yellow + keyword whole range yellow + keyword whole return yellow + keyword whole select yellow + keyword whole struct yellow + keyword whole switch yellow + keyword whole type yellow + keyword whole var yellow +# builtin types + keyword whole uint8 brightgreen + keyword whole uint16 brightgreen + keyword whole uint32 brightgreen + keyword whole uint64 brightgreen + keyword whole int8 brightgreen + keyword whole int16 brightgreen + keyword whole int32 brightgreen + keyword whole int64 brightgreen + keyword whole float32 brightgreen + keyword whole float64 brightgreen + keyword whole byte brightgreen + keyword whole uint brightgreen + keyword whole int brightgreen + keyword whole float brightgreen + keyword whole uintptr brightgreen + keyword whole string brightgreen + keyword whole bool brightgreen + keyword whole time brightgreen +# builtin functions + keyword whole nil brown + keyword whole true brown + keyword whole false brown + keyword whole iota brown + keyword whole cap brown + keyword whole close brown + keyword whole closed brown + keyword whole len brown + keyword whole make brown + keyword whole new brown + keyword whole panic brown + keyword whole panicln brown + keyword whole print brown + keyword whole println brown +# builtin packages + keyword whole tar brightgreen + keyword whole zip brightgreen + keyword whole bufio brightgreen + keyword whole bytes brightgreen + keyword whole cmd brightgreen + keyword whole compress brightgreen + keyword whole container brightgreen + keyword whole crypto brightgreen + keyword whole database brightgreen + keyword whole debug brightgreen + keyword whole encoding brightgreen + keyword whole errors brightgreen + keyword whole expvar brightgreen + keyword whole flag brightgreen + keyword whole fmt brightgreen + keyword whole hash brightgreen + keyword whole html brightgreen + keyword whole image brightgreen + keyword whole suffixarray brightgreen + keyword whole race brightgreen + keyword whole singleflight brightgreen + keyword whole syscall brightgreen + keyword whole testenv brightgreen + keyword whole trace brightgreen + keyword whole io brightgreen + keyword whole log brightgreen + keyword whole math brightgreen + keyword whole mime brightgreen + keyword whole net brightgreen + keyword whole os brightgreen + keyword whole path brightgreen + keyword whole reflect brightgreen + keyword whole regexp brightgreen + keyword whole runtime brightgreen + keyword whole sort brightgreen + keyword whole strconv brightgreen + keyword whole strings brightgreen + keyword whole sync brightgreen + keyword whole testing brightgreen + keyword whole text brightgreen + keyword whole time brightgreen + keyword whole unicode brightgreen + keyword whole unsafe brightgreen + keyword whole vendor brightgreen + keyword whole unicode brightgreen +# special functions + keyword whole init brown + keyword whole main brown +# comment chars + keyword /\* green + keyword \*/ green + keyword // green +# punctuation, operator chars + keyword <- brightmagenta + keyword \+ brightcyan + keyword & brightcyan + keyword ( brightcyan + keyword ) brightcyan + keyword - brightcyan + keyword | brightcyan + keyword < brightcyan + keyword [ brightcyan + keyword ] brightcyan + keyword \* brightcyan + keyword ^ brightcyan + keyword > brightcyan + keyword { brightcyan + keyword } brightcyan + keyword / brightcyan + keyword = brightcyan + keyword , brightcyan + keyword ; brightcyan + keyword % brightcyan + keyword ! brightcyan + keyword . brightcyan + keyword : brightcyan + +# comment +context exclusive /\* \*/ brown +context exclusive // \n brown + +# char, raw string, string +context ' ' gray + keyword \\\{abfnrtv\\'"\} white + keyword \\\{0123\}\{01234567\}\{01234567\} white + keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} white + keyword \\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} white + keyword \\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} white +context ` ` green +context " " green + spellcheck + keyword \\\{abfnrtv\\'"\} brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\x\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightgreen + keyword \\u\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightgreen + keyword \\U\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\}\{0123456789abcdefABCDEF\} brightgreen diff --git a/misc/syntax/haskell.syntax b/misc/syntax/haskell.syntax new file mode 100644 index 0000000..c650479 --- /dev/null +++ b/misc/syntax/haskell.syntax @@ -0,0 +1,283 @@ +# Author : Sergei Trofimovich +# Language : haskell +# +# 'Syntax rules for the C and the C++ programming languages' is taken as base +# (haskell compilers support CPP macros) +# +# haskell keywords and reserved symbols are grabbed from ghc-6.10.1/compiler/parser/Lexer.hs + +context default + +# haskell keywords + +# reserved keywords: + +# unused symbols + keyword whole _\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] brightmagenta + + keyword whole as yellow + keyword whole case yellow + keyword whole class yellow + keyword whole data yellow + keyword whole default yellow + keyword whole deriving yellow + keyword whole do yellow + keyword whole else yellow + keyword whole hiding yellow + keyword whole if yellow + keyword whole import yellow + keyword whole in yellow + keyword whole infix yellow + keyword whole infixl yellow + keyword whole infixr yellow + keyword whole instance yellow + keyword whole let yellow + keyword whole module yellow + keyword whole newtype yellow + keyword whole of yellow + keyword whole qualified yellow + keyword whole then yellow + keyword whole type yellow + keyword whole where yellow + +# extension keywords + keyword whole forall yellow + keyword whole mdo yellow + keyword whole family yellow + keyword whole group yellow + keyword whole by yellow + keyword whole using yellow + +# ffi + keyword whole foreign yellow + keyword whole export yellow + keyword whole label yellow + keyword whole dynamic yellow + keyword whole safe yellow + keyword whole threadsafe yellow + keyword whole unsafe yellow + keyword whole stdcall yellow + keyword whole ccall yellow + keyword whole dotnet yellow + + keyword whole rec yellow + keyword whole proc yellow + +# other + keyword . white +# keyword whole .. white + +# unboxed (started from upcase) + keyword whole \{ABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]# white +# types/modules + keyword whole \{ABCDEFGHIJKLMNOPQRSTUVWXYZ\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\] white + +# C keywords (TODO: remove unused in haskell source) + keyword whole auto yellow + keyword whole break yellow + keyword whole case yellow + keyword whole char yellow + keyword whole const yellow + keyword whole continue yellow + keyword whole do yellow + keyword whole double yellow + keyword whole else yellow + keyword whole enum yellow + keyword whole extern yellow + keyword whole float yellow + keyword whole for yellow + keyword whole goto yellow + keyword whole if yellow + keyword whole int yellow + keyword whole long yellow + keyword whole register yellow + keyword whole return yellow + keyword whole short yellow + keyword whole signed yellow + keyword whole sizeof yellow + keyword whole static yellow + keyword whole struct yellow + keyword whole switch yellow + keyword whole typedef yellow + keyword whole union yellow + keyword whole unsigned yellow + keyword whole void yellow + keyword whole volatile yellow + keyword whole while yellow + keyword whole asm yellow + keyword whole inline yellow + keyword whole wchar_t yellow + keyword whole ... yellow + keyword whole linestart \{\s\t\}\[\s\t\]#*\n brightmagenta + keyword whole \[\s\t\]default yellow + keyword whole linestart \[\s\t\]\{ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\}\[0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ_abcdefghijklmnopqrstuvwxyz\]: cyan + +#digits + keyword whole 0\{xX\}\{0123456789abcdefABCDEF\}\[0123456789abcdefABCDEF\] brightgreen + keyword whole \{0123456789\}\[0123456789\] brightgreen + keyword whole \[0123456789\]\.\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\.\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{0123456789\}\[0123456789\] brightgreen + keyword whole \{0123456789\}\[0123456789\]\.\{0123456789\}\[0123456789\]\{eE\}\{\-\+\}\{0123456789\}\[0123456789\] brightgreen + +#comments + keyword {- brown + keyword -} brown + keyword -- brown + + keyword \*/ brown + keyword /\* brown + keyword // brown + + keyword '\\\{"abtnvfr\}' brightgreen + +#haskell standalone char escapes + keyword '\\NUL' brightgreen + keyword '\\SOH' brightgreen + keyword '\\STX' brightgreen + keyword '\\ETX' brightgreen + keyword '\\EOT' brightgreen + keyword '\\ENQ' brightgreen + keyword '\\ACK' brightgreen + keyword '\\BEL' brightgreen + keyword '\\BS' brightgreen + keyword '\\HT' brightgreen + keyword '\\LF' brightgreen + keyword '\\VT' brightgreen + keyword '\\FF' brightgreen + keyword '\\CR' brightgreen + keyword '\\SO' brightgreen + keyword '\\SI' brightgreen + keyword '\\DLE' brightgreen + keyword '\\DC1' brightgreen + keyword '\\DC2' brightgreen + keyword '\\DC3' brightgreen + keyword '\\DC4' brightgreen + keyword '\\NAK' brightgreen + keyword '\\SYN' brightgreen + keyword '\\ETB' brightgreen + keyword '\\CAN' brightgreen + keyword '\\EM' brightgreen + keyword '\\SUB' brightgreen + keyword '\\ESC' brightgreen + keyword '\\FS' brightgreen + keyword '\\GS' brightgreen + keyword '\\RS' brightgreen + keyword '\\US' brightgreen + keyword '\\SP' brightgreen + keyword '\\DEL' brightgreen + + keyword '\\\{0123\}\{01234567\}\{01234567\}' brightgreen + keyword '\\'' brightgreen + keyword '\\\\' brightgreen + keyword '\\0' brightgreen + keyword '\{\s!"#$%&()\*\+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~ ¡¢£¤¥¦§¨©ª«¬­®¯°±²³´µ¶·¸¹º»¼½¾¿ÀÁÂÃÄÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâãäåæçèéêëìíîïðñòóôõö÷øùúûüýþÿ\}' brightgreen + +# some punctuation characters + keyword whole $ yellow + keyword whole ! white + keyword whole && white + keyword whole \* yellow + keyword whole \+ yellow + keyword whole / yellow + keyword whole < yellow + keyword whole > yellow + keyword whole ^ white + keyword whole || white + keyword whole | white + + keyword ~ white + keyword , brightcyan + keyword - yellow + keyword : brightcyan + keyword ; yellow + keyword = yellow + keyword { white + keyword } white + keyword [ brightcyan + keyword ] brightcyan + keyword ( brightcyan + keyword ) brightcyan + +# comments +context exclusive /\* \*/ brown + spellcheck + +context exclusive {-# #-} green + spellcheck + +context exclusive {- -} brown + spellcheck + +context exclusive // \n brown + spellcheck + +context exclusive \-\- \n brown + spellcheck + +# C macros +context linestart # \n brightred + keyword \\\n yellow + keyword /\**\*/ brown + keyword //*\n brown + keyword "+" red + keyword <+> red + + +context " " green + spellcheck + +#haskell escapes in ""s + keyword \\NUL brightgreen + keyword \\SOH brightgreen + keyword \\STX brightgreen + keyword \\ETX brightgreen + keyword \\EOT brightgreen + keyword \\ENQ brightgreen + keyword \\ACK brightgreen + keyword \\BEL brightgreen + keyword \\BS brightgreen + keyword \\HT brightgreen + keyword \\LF brightgreen + keyword \\VT brightgreen + keyword \\FF brightgreen + keyword \\CR brightgreen + keyword \\SO brightgreen + keyword \\SI brightgreen + keyword \\DLE brightgreen + keyword \\DC1 brightgreen + keyword \\DC2 brightgreen + keyword \\DC3 brightgreen + keyword \\DC4 brightgreen + keyword \\NAK brightgreen + keyword \\SYN brightgreen + keyword \\ETB brightgreen + keyword \\CAN brightgreen + keyword \\EM brightgreen + keyword \\SUB brightgreen + keyword \\ESC brightgreen + keyword \\FS brightgreen + keyword \\GS brightgreen + keyword \\RS brightgreen + keyword \\US brightgreen + keyword \\SP brightgreen + keyword \\DEL brightgreen + + keyword \\" brightgreen + keyword %% brightgreen + keyword %\[#0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[L\]\{eEfgGoxX\} brightgreen + keyword %\[0\s-\+,\]\[0123456789\*\]\[.\]\[0123456789\*\]\[hl\]\{diuxX\} brightgreen + keyword %\[hl\]n brightgreen + keyword %\[-\]\[0123456789\*\]\[.\]\[0123456789\*\]s brightgreen + keyword %[*] brightgreen + keyword %c brightgreen + keyword %p brightgreen + keyword \\\{0123\}\{01234567\}\{01234567\} brightgreen + keyword \\\\ brightgreen + keyword \\' brightgreen + keyword \\\{abtnvfr\} brightgreen + +# infix binop +context exclusive ` ` white diff --git a/misc/syntax/hive.syntax b/misc/syntax/hive.syntax new file mode 100644 index 0000000..a8b01d7 --- /dev/null +++ b/misc/syntax/hive.syntax @@ -0,0 +1,627 @@ +# HQL syntax highlighting +# by Michal Lorek + +caseinsensitive + +context default + +# Keywords + keyword whole TRUE white + keyword whole FALSE white + keyword whole ALL white + keyword whole NONE white + keyword whole AND white + keyword whole OR white + keyword whole NOT white + keyword whole ! brightcyan + keyword whole LIKE white + keyword whole ANY white + keyword whole IF white + keyword whole EXISTS white + keyword whole ASC white + keyword whole DESC white + keyword whole NULLS white + keyword whole LAST white + keyword whole ORDER white + keyword whole GROUP white + keyword whole BY white + keyword whole HAVING white + keyword whole WHERE white + keyword whole FROM white + keyword whole AS white + keyword whole SELECT white + keyword whole DISTINCT white + keyword whole INSERT white + keyword whole OVERWRITE magenta + keyword whole OUTER white + keyword whole UNIQUEJOIN white + keyword whole PRESERVE white + keyword whole JOIN white + keyword whole LEFT white + keyword whole RIGHT white + keyword whole FULL white + keyword whole ON white + keyword whole PARTITION white + keyword whole PARTITIONS white + keyword whole TABLE white + keyword whole TABLES white + keyword whole COLUMNS white + keyword whole INDEX white + keyword whole INDEXES white + keyword whole REBUILD white + keyword whole FUNCTIONS white + keyword whole SHOW white + keyword whole MSCK white + keyword whole REPAIR white + keyword whole DIRECTORY magenta + keyword whole LOCAL magenta + keyword whole TRANSFORM white + keyword whole USING white + keyword whole CLUSTER white + keyword whole DISTRIBUTE white + keyword whole SORT white + keyword whole UNION white + keyword whole EXCEPT white + keyword whole LOAD white + keyword whole EXPORT white + keyword whole IMPORT white + keyword whole REPLICATION white + keyword whole METADATA white + keyword whole DATA white + keyword whole INPATH white + keyword whole IS white + keyword whole NULL white + keyword whole CREATE white + keyword whole EXTERNAL white + keyword whole ALTER white + keyword whole CHANGE white + keyword whole COLUMN white + keyword whole FIRST white + keyword whole AFTER white + keyword whole DESCRIBE magenta + keyword whole DROP white + keyword whole RENAME white + keyword whole TO white + keyword whole COMMENT white + keyword whole BOOLEAN white + keyword whole TINYINT white + keyword whole SMALLINT white + keyword whole INT white + keyword whole INTEGER white + keyword whole BIGINT white + keyword whole FLOAT white + keyword whole DOUBLE white + keyword whole PRECISION white + keyword whole DATE white + keyword whole DATETIME white + keyword whole TIMESTAMP white + keyword whole TIMESTAMPTZ white + keyword whole TIME white + keyword whole ZONE white + keyword whole INTERVAL white + keyword whole DECIMAL white + keyword whole DEC white + keyword whole NUMERIC white + keyword whole STRING white + keyword whole CHAR white + keyword whole VARCHAR white + keyword whole ARRAY white + keyword whole STRUCT white + keyword whole MAP white + keyword whole UNIONTYPE white + keyword whole REDUCE white + keyword whole PARTITIONED white + keyword whole CLUSTERED white + keyword whole SORTED white + keyword whole INTO white + keyword whole BUCKETS white + keyword whole ROW magenta + keyword whole ROWS magenta + keyword whole FORMAT magenta + keyword whole DELIMITED magenta + keyword whole FIELDS magenta + keyword whole TERMINATED magenta + keyword whole ESCAPED magenta + keyword whole COLLECTION white + keyword whole ITEMS white + keyword whole KEYS white + keyword whole $KEY$ white + keyword whole LINES magenta + keyword whole STORED magenta + keyword whole FILEFORMAT magenta + keyword whole INPUTFORMAT magenta + keyword whole OUTPUTFORMAT magenta + keyword whole INPUTDRIVER white + keyword whole OUTPUTDRIVER white + keyword whole ENABLE white + keyword whole DISABLE white + keyword whole LOCATION magenta + keyword whole TABLESAMPLE white + keyword whole BUCKET white + keyword whole OUT white + keyword whole OF white + keyword whole PERCENT white + keyword whole CAST cyan + keyword whole ADD white + keyword whole REPLACE white + keyword whole RLIKE white + keyword whole REGEXP white + keyword whole TEMPORARY white + keyword whole FUNCTION white + keyword whole MACRO white + keyword whole FILE white + keyword whole JAR white + keyword whole EXPLAIN white + keyword whole EXTENDED white + keyword whole FORMATTED white + keyword whole PRETTY white + keyword whole DEPENDENCY white + keyword whole LOGICAL white + keyword whole SERDE white + keyword whole WITH white + keyword whole DEFERRED white + keyword whole SERDEPROPERTIES white + keyword whole DBPROPERTIES white + keyword whole LIMIT white + keyword whole OFFSET white + keyword whole SET white + keyword whole UNSET white + keyword whole TBLPROPERTIES white + keyword whole IDXPROPERTIES white + keyword whole $VALUE$ white + keyword whole $ELEM$ white + keyword whole DEFINED white + keyword whole CASE white + keyword whole WHEN white + keyword whole THEN white + keyword whole ELSE white + keyword whole END white + keyword whole MAPJOIN white + keyword whole STREAMTABLE white + keyword whole CLUSTERSTATUS white + keyword whole UTC white + keyword whole UTC_TMESTAMP white + keyword whole LONG white + keyword whole DELETE white + keyword whole PLUS white + keyword whole MINUS white + keyword whole FETCH white + keyword whole INTERSECT white + keyword whole VIEW white + keyword whole VIEWS white + keyword whole IN white + keyword whole DATABASE white + keyword whole DATABASES white + keyword whole MATERIALIZED white + keyword whole SCHEMA white + keyword whole SCHEMAS white + keyword whole GRANT white + keyword whole REVOKE white + keyword whole SSL white + keyword whole UNDO white + keyword whole LOCK white + keyword whole LOCKS white + keyword whole UNLOCK white + keyword whole SHARED white + keyword whole EXCLUSIVE white + keyword whole PROCEDURE white + keyword whole UNSIGNED white + keyword whole WHILE white + keyword whole READ white + keyword whole READS white + keyword whole PURGE white + keyword whole RANGE white + keyword whole ANALYZE white + keyword whole BEFORE white + keyword whole BETWEEN white + keyword whole BOTH white + keyword whole BINARY white + keyword whole CROSS white + keyword whole CONTINUE white + keyword whole CURSOR white + keyword whole TRIGGER white + keyword whole RECORDREADER white + keyword whole RECORDWRITER white + keyword whole SEMI white + keyword whole LATERAL magenta + keyword whole TOUCH white + keyword whole ARCHIVE magenta + keyword whole UNARCHIVE magenta + keyword whole COMPUTE white + keyword whole STATISTICS white + keyword whole USE magenta + keyword whole OPTION white + keyword whole CONCATENATE magenta + keyword whole SHOW_DATABASE white + keyword whole UPDATE white + keyword whole RESTRICT white + keyword whole CASCADE white + keyword whole SKEWED white + keyword whole ROLLUP white + keyword whole CUBE white + keyword whole DIRECTORIES white + keyword whole FOR white + keyword whole WINDOW white + keyword whole UNBOUNDED white + keyword whole PRECEDING white + keyword whole FOLLOWING white + keyword whole CURRENT white + keyword whole CURRENT_DATE white + keyword whole CURRENT_TIMESTAMP white + keyword whole LESS white + keyword whole MORE white + keyword whole OVER white + keyword whole GROUPING white + keyword whole SETS white + keyword whole TRUNCATE white + keyword whole NOSCAN white + keyword whole PARTIALSCAN white + keyword whole USER white + keyword whole ROLE white + keyword whole ROLES white + keyword whole INNER white + keyword whole EXCHANGE white + keyword whole URI white + keyword whole SERVER white + keyword whole ADMIN white + keyword whole OWNER white + keyword whole PRINCIPALS white + keyword whole COMPACT white + keyword whole COMPACTIONS white + keyword whole TRANSACTIONS white + keyword whole REWRITE white + keyword whole AUTHORIZATION white + keyword whole CONF white + keyword whole VALUES white + keyword whole RELOAD white + keyword whole YEAR white + keyword whole YEARS white + keyword whole QUARTER white + keyword whole MONTH white + keyword whole MONTHS white + keyword whole WEEK white + keyword whole WEEKS white + keyword whole DAY white + keyword whole DAYS white + keyword whole DAYOFWEEK white + keyword whole HOUR white + keyword whole HOURS white + keyword whole MINUTE white + keyword whole MINUTES white + keyword whole SECOND white + keyword whole SECONDS white + keyword whole START white + keyword whole TRANSACTION white + keyword whole COMMIT white + keyword whole ROLLBACK white + keyword whole WORK white + keyword whole ONLY white + keyword whole WRITE white + keyword whole ISOLATION white + keyword whole LEVEL white + keyword whole SNAPSHOT white + keyword whole AUTOCOMMIT white + keyword whole CACHE white + keyword whole PRIMARY white + keyword whole FOREIGN white + keyword whole REFERENCES white + keyword whole CONSTRAINT white + keyword whole VALIDATE white + keyword whole NOVALIDATE white + keyword whole RELY white + keyword whole NORELY white + keyword whole UNIQUE white + keyword whole KEY white + keyword whole ABORT white + keyword whole EXTRACT white + keyword whole FLOOR white + keyword whole MERGE white + keyword whole MATCHED white + keyword whole REPL white + keyword whole DUMP white + keyword whole STATUS white + keyword whole VECTORIZATION white + keyword whole SUMMARY white + keyword whole OPERATOR white + keyword whole EXPRESSION white + keyword whole DETAIL white + keyword whole WAIT white + +# Storage Formats + keyword whole TEXTFILE brightblue + keyword whole ORC brightblue + keyword whole SEQUENCEFILE brightblue + keyword whole RCFILE brightblue + keyword whole PARQUET brightblue + keyword whole AVRO brightblue + +# Comments + keyword /\* brown + keyword \*/ brown + keyword -- brown + +# Operators + keyword > brightcyan + keyword < brightcyan + keyword \+ brightcyan + keyword - brightcyan + keyword \* brightcyan + keyword / brightcyan + keyword % brightcyan + keyword = brightcyan + keyword ( brightcyan + keyword ) brightcyan + keyword , brightcyan + keyword ; brightcyan + keyword . white + keyword \| white + keyword != white + keyword \^ white + keyword \~ white + keyword whole DIV white + keyword [ brightcyan + keyword ] brightcyan + keyword { brightcyan + keyword } brightcyan + keyword : white + keyword & white + keyword ? white + +# Mathematical Functions + keyword whole round yellow + keyword whole bround yellow + keyword whole floor yellow + keyword whole ceil yellow + keyword whole rand yellow + keyword whole exp yellow + keyword whole ln yellow + keyword whole log10 yellow + keyword whole log2 yellow + keyword whole log yellow + keyword whole pow yellow + keyword whole sqrt yellow + keyword whole bin yellow + keyword whole hex yellow + keyword whole unhex yellow + keyword whole conv yellow + keyword whole abs yellow + keyword whole pmod yellow + keyword whole sin yellow + keyword whole asin yellow + keyword whole cos yellow + keyword whole acos yellow + keyword whole tan yellow + keyword whole atan yellow + keyword whole degrees yellow + keyword whole radians yellow + keyword whole positive yellow + keyword whole negative yellow + keyword whole sign yellow + keyword whole e() yellow + keyword whole pi() yellow + keyword whole factorial yellow + keyword whole cbrt yellow + keyword whole shiftleft yellow + keyword whole shiftright yellow + keyword whole shiftrightunsigned yellow + keyword whole greatest yellow + keyword whole least yellow + keyword whole width_bucket yellow + +# Collection Functions + keyword whole size yellow + keyword whole map_keys yellow + keyword whole map_values yellow + keyword whole array_contains yellow + keyword whole sort_array yellow + +# Type Conversion +# binary # keyword +# cast # keyword + +# Date Functions + keyword whole from_unixtime yellow + keyword whole unix_timestamp yellow + keyword whole to_date yellow + keyword whole year yellow + keyword whole quarter yellow + keyword whole month yellow + keyword whole day yellow + keyword whole hour yellow + keyword whole minute yellow + keyword whole second yellow + keyword whole weekofyear yellow + keyword whole extract yellow + keyword whole datediff yellow + keyword whole date_add yellow + keyword whole date_sub yellow + keyword whole from_utc_timestamp yellow + keyword whole to_utc_timestamp yellow + keyword whole current_date yellow + keyword whole add_months yellow + keyword whole last_day yellow + keyword whole next_day yellow + keyword whole trunc yellow + keyword whole months_between yellow + keyword whole date_format yellow + +# Conditional Functions + keyword whole if yellow + keyword whole isnull yellow + keyword whole isnotnull yellow + keyword whole coalesce yellow + keyword whole nullif yellow + keyword whole assert_true yellow + +# String Functions + keyword whole ascii yellow + keyword whole base64 yellow + keyword whole character_length yellow + keyword whole chr yellow + keyword whole concat yellow + keyword whole context_ngrams yellow + keyword whole concat_ws yellow + keyword whole decode yellow + keyword whole elt yellow + keyword whole encode yellow + keyword whole field yellow + keyword whole find_in_set yellow + keyword whole format_number yellow + keyword whole get_json_object yellow + keyword whole in_file yellow + keyword whole instr yellow + keyword whole length yellow + keyword whole locate yellow + keyword whole lower yellow + keyword whole lpad yellow + keyword whole ltrim yellow + keyword whole ngrams yellow + keyword whole octet_length yellow + keyword whole parse_url yellow + keyword whole printf yellow + keyword whole regexp_extract yellow + keyword whole regexp_replace yellow + keyword whole repeat yellow + keyword whole replace yellow + keyword whole reverse yellow + keyword whole rpad yellow + keyword whole rtrim yellow + keyword whole sentences yellow + keyword whole space yellow + keyword whole split yellow + keyword whole str_to_map yellow + keyword whole substr yellow + keyword whole substr_index yellow + keyword whole translate yellow + keyword whole trim yellow + keyword whole unbase64 yellow + keyword whole upper yellow + keyword whole initcap yellow + keyword whole levenshtein yellow + keyword whole soundex yellow + +# Data Masking Functions + keyword whole mask yellow + keyword whole mask_first_n yellow + keyword whole mask_last_n yellow + keyword whole mask_show_first_n yellow + keyword whole mask_show_last_n yellow + keyword whole mask_hash yellow + +# Misc. Functions + keyword whole java_method yellow + keyword whole reflect yellow + keyword whole hash yellow + keyword whole current_user yellow + keyword whole logged_user yellow + keyword whole md5 yellow + keyword whole sha1 yellow + keyword whole sha yellow + keyword whole crc32 yellow + keyword whole sha2 yellow + keyword whole aes_encrypt yellow + keyword whole aes_decrypt yellow + keyword whole version yellow + +# xpath + keyword whole xpath yellow + keyword whole xpath_short yellow + keyword whole xpath_int yellow + keyword whole xpath_long yellow + keyword whole xpath_float yellow + keyword whole xpath_double yellow + keyword whole xpath_number yellow + keyword whole xpath_string yellow + +# Aggregate Functions + keyword whole count yellow + keyword whole sum yellow + keyword whole avg yellow + keyword whole min yellow + keyword whole max yellow + keyword whole variance yellow + keyword whole var_samp yellow + keyword whole stddev_pop yellow + keyword whole stddev_samp yellow + keyword whole covar_pop yellow + keyword whole covar_samp yellow + keyword whole corr yellow + keyword whole percentile yellow + keyword whole regr_avgx yellow + keyword whole regr_avgy yellow + keyword whole regr_count yellow + keyword whole regr_intercept yellow + keyword whole regr_r2 yellow + keyword whole regr_slope yellow + keyword whole regr_sxx yellow + keyword whole regr_sxy yellow + keyword whole regr_syy yellow + keyword whole histogram_numeric yellow + keyword whole collect_set yellow + keyword whole collect_list yellow + keyword whole ntile yellow + +# Table-Generating Functions + keyword whole explode yellow + keyword whole posexplode yellow + keyword whole inline yellow + keyword whole stack yellow + keyword whole json_tuple yellow + keyword whole parse_url yellow + +# Window Functions + keyword whole lead yellow + keyword whole lag yellow + keyword whole first_value yellow + keyword whole last_value yellow + keyword whole rank yellow + keyword whole row_number yellow + keyword whole dense_rank yellow + keyword whole cume_dist yellow + keyword whole percent_rank yellow + keyword whole ntile yellow + +# Variables + # oozie variables + keyword whole ${+} brightgreen + # hive variables + keyword whole ${hiveconf:+} magenta + + +# Hive options + keyword wholeright hive.+.+.+ red + keyword wholeright tez.+.+ red + keyword wholeright mapreduce.+.+ red + +# Literals + # float + keyword whole \[0123456789\]\{.\}\[0123456789\] brightmagenta + # float e notation + keyword whole \[0123456789\]\[.\]\[0123456789\]\{e\}\[\+-\]\{0123456789\}\[0123456789\] brightmagenta + + # tinyint + keyword whole \{0123456789\}\[0123456789\]Y magenta + # smallint + keyword whole \{0123456789\}\[0123456789\]S magenta + # bigint + keyword whole \{0123456789\}\[0123456789\]L magenta + # int + keyword whole \{0123456789\}\[0123456789\] brightmagenta + # big decimal + keyword whole \{0123456789\}\[0123456789\]BD magenta + +context exclusive -- \n brown + spellcheck + +context exclusive /\* \*/ brown + spellcheck + +context ' ' green + keyword \\' brightgreen + # oozie variables + keyword whole ${+} brightgreen + # hive variables + keyword whole ${hiveconf:+} magenta + +context " " red + keyword \\" brightred diff --git a/misc/syntax/html.syntax b/misc/syntax/html.syntax new file mode 100644 index 0000000..3adbe4d --- /dev/null +++ b/misc/syntax/html.syntax @@ -0,0 +1,1267 @@ + +# html highlighting with tags in caps always. if you want everything in lowercase +# use tr + +# i got upto IMG in the netscape comprehensive tags reference. thereafter will get +# done later - paul + +caseinsensitive + +context default + keyword whole &*; brightgreen/16 + spellcheck + + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword
brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword

brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + keyword brightcyan/17 + + +context brightcyan/17 + keyword = brightred/18 + + keyword "http:*" magenta/22 + keyword "ftp:*" magenta/22 + keyword "news:*" magenta/22 + keyword "mailto:*" magenta/22 + keyword "gopher:*" magenta/22 + keyword "telnet:*" magenta/22 + keyword "file:*" magenta/22 + keyword "javascript:*" magenta/22 + + keyword "Alternate" magenta/22 + keyword "Stylesheet" magenta/22 + keyword "Start" magenta/22 + keyword "Next" magenta/22 + keyword "Prev" magenta/22 + keyword "Contents" magenta/22 + keyword "Index" magenta/22 + keyword "Glossary" magenta/22 + keyword "Copyright" magenta/22 + keyword "Chapter" magenta/22 + keyword "Section" magenta/22 + keyword "Subsection" magenta/22 + keyword "Appendix" magenta/22 + keyword "Help" magenta/22 + keyword "Bookmark" magenta/22 + + keyword "_blank" magenta/22 + keyword "_self" magenta/22 + keyword "_parent" magenta/22 + keyword "_top" magenta/22 + + keyword "*" cyan/5 + +# keyword whole charset yellow/24 +# keyword whole type yellow/24 + keyword whole name yellow/24 + keyword whole href yellow/24 +# keyword whole hreflang yellow/24 +# keyword whole rel yellow/24 +# keyword whole rev yellow/24 + keyword whole shape yellow/24 + keyword whole coords yellow/24 +# keyword whole tabindex yellow/24 +# keyword whole selected yellow/24 + keyword whole target yellow/24 + keyword whole onblur yellow/24 + keyword whole onclick yellow/24 + keyword whole ondblclick yellow/24 + keyword whole onfocus yellow/24 + keyword whole onkeydown yellow/24 + keyword whole onkeypress yellow/24 + keyword whole onkeyup yellow/24 + keyword whole onmousedown yellow/24 + keyword whole onmousemove yellow/24 + keyword whole onmouseout yellow/24 + keyword whole onmouseover yellow/24 + keyword whole onmouseup yellow/24 + + +context
brightcyan/17 + keyword = brightred/18 + + keyword whole class yellow/24 + keyword whole id yellow/24 + keyword whole dir yellow/24 + keyword whole title yellow/24 + keyword whole lang yellow/24 + + keyword whole onblur yellow/24 + keyword whole onclick yellow/24 + keyword whole ondblclick yellow/24 + keyword whole onfocus yellow/24 + keyword whole onkeydown yellow/24 + keyword whole onkeypress yellow/24 + keyword whole onkeyup yellow/24 + keyword whole onmousedown yellow/24 + keyword whole onmousemove yellow/24 + keyword whole onmouseout yellow/24 + keyword whole onmouseover yellow/24 + keyword whole onmouseup yellow/24 + keyword "*" cyan/5 + +context brightcyan/17 + keyword = brightred/18 + + keyword whole class yellow/24 + keyword whole id yellow/24 + keyword whole style yellow/24 + keyword whole title yellow/24 + + keyword whole align yellow/24 + keyword whole alt yellow/24 + keyword whole archive yellow/24 + keyword whole code yellow/24 + keyword whole codebase yellow/24 + keyword whole height yellow/24 + keyword whole hspace yellow/24 + keyword whole mayscript yellow/24 + keyword whole name yellow/24 + keyword whole object yellow/24 + keyword whole vspace yellow/24 + keyword whole width yellow/24 + + keyword "http:*" magenta/22 + keyword "ftp:*" magenta/22 + keyword "news:*" magenta/22 + keyword "mailto:*" magenta/22 + keyword "gopher:*" magenta/22 + keyword "telnet:*" magenta/22 + keyword "file:*" magenta/22 + keyword "javascript:*" magenta/22 + keyword "*.class" magenta/22 + keyword "*" cyan/5 + +context brightcyan/17 + keyword = brightred/18 + +# keyword whole accesskey yellow/24 +# keyword whole alt yellow/24 + keyword whole coords yellow/24 + keyword whole href yellow/24 + keyword whole nohref yellow/24 + keyword whole shape yellow/24 +# keyword whole onfocus yellow/24 +# keyword whole onblur yellow/24 + keyword whole target magenta/22 + keyword "_blank" magenta/22 + keyword "_self" magenta/22 + keyword "_parent" magenta/22 + keyword "_top" magenta/22 + + keyword "DEFAULT" magenta/22 + keyword "CIRCLE" magenta/22 + keyword "POLY" magenta/22 + keyword "POLYGON" magenta/22 + keyword "RECT" magenta/22 + keyword "RECTANGLE" magenta/22 + + keyword "http:*" magenta/22 + keyword "ftp:*" magenta/22 + keyword "news:*" magenta/22 + keyword "gopher:*" magenta/22 + keyword "telnet:*" magenta/22 + keyword "*" cyan/5 + +context brightcyan/17 + keyword = brightred/18 + + keyword whole class yellow/24 + keyword whole id yellow/24 + keyword whole style yellow/24 + keyword whole title yellow/24 + + keyword whole dir yellow/24 + keyword whole lang yellow/24 + + keyword whole onclick yellow/24 + keyword whole ondblclick yellow/24 + keyword whole onkeydown yellow/24 + keyword whole onkeypress yellow/24 + keyword whole onkeyup yellow/24 + keyword whole onmousedown yellow/24 + keyword whole onmousemove yellow/24 + keyword whole onmouseout yellow/24 + keyword whole onmouseover yellow/24 + keyword whole onmouseup yellow/24 + keyword "*" cyan/5 + +context brightcyan/17 + keyword = brightred/18 + + keyword whole href yellow/24 + keyword whole target yellow/24 + keyword "_blank" magenta/22 + keyword "_self" magenta/22 + keyword "_parent" magenta/22 + keyword "_top" magenta/22 + + keyword "http:*" magenta/22 + keyword "ftp:*" magenta/22 + keyword "*" cyan/5 + +context brightcyan/17 + keyword = brightred/18 + keyword " magenta/22 + + keyword whole id yellow/24 + keyword whole size yellow/24 + keyword whole color yellow/24 + keyword whole face yellow/24 + keyword "*" cyan/5 + +context brightcyan/17 + keyword = brightred/18 + + keyword whole class yellow/24 + keyword whole id yellow/24 + keyword whole style yellow/24 + keyword whole title yellow/24 + + keyword whole dir yellow/24 + keyword whole lang yellow/24 + + keyword whole onclick yellow/24 + keyword whole ondblclick yellow/24 + keyword whole onkeydown yellow/24 + keyword whole onkeypress yellow/24 + keyword whole onkeyup yellow/24 + keyword whole onmousedown yellow/24 + keyword whole onmousemove yellow/24 + keyword whole onmouseout yellow/24 + keyword whole onmouseover yellow/24 + keyword whole onmouseup yellow/24 + keyword "*" cyan/5 + + +context
brightcyan/17 + keyword = brightred/18 + + keyword whole class yellow/24 + keyword whole id yellow/24 + keyword whole style yellow/24 + keyword whole title yellow/24 + + keyword whole dir yellow/24 + keyword whole lang yellow/24 + + keyword whole onclick yellow/24 + keyword whole ondblclick yellow/24 + keyword whole onkeydown yellow/24 + keyword whole onkeypress yellow/24 + keyword whole onkeyup yellow/24 + keyword whole onmousedown yellow/24 + keyword whole onmousemove yellow/24 + keyword whole onmouseout yellow/24 + keyword whole onmouseover yellow/24 + keyword whole onmouseup yellow/24 + + keyword whole cite yellow/24 + keyword "*" cyan/5 + + +context brightcyan/17 + keyword = brightred/18 + + keyword whole class yellow/24 + keyword whole id yellow/24 + keyword whole style yellow/24 + keyword whole title yellow/24 + + keyword whole dir yellow/24 + keyword whole lang yellow/24 + + keyword whole onclick yellow/24 + keyword whole ondblclick yellow/24 + keyword whole onkeydown yellow/24 + keyword whole onkeypress yellow/24 + keyword whole onkeyup yellow/24 + keyword whole onmousedown yellow/24 + keyword whole onmousemove yellow/24 + keyword whole onmouseout yellow/24 + keyword whole onmouseover yellow/24 + keyword whole onmouseup yellow/24 + + keyword whole onload yellow/24 + keyword whole onunload yellow/24 + + keyword whole alink yellow/24 + keyword whole background yellow/24 + + keyword "*.gif" brightred/19 + keyword "*.jpg" brightred/19 + keyword "*.png" brightred/19 + keyword "*" cyan/5 + + keyword whole bgcolor yellow/24 + keyword whole link yellow/24 + keyword whole text yellow/24 + keyword whole vlink yellow/24 + +context
brightcyan/17 + keyword = brightred/18 + + keyword whole class yellow/24 + keyword whole id yellow/24 + keyword whole style yellow/24 + keyword whole title yellow/24 + keyword "*" cyan/5 + + +context