diff options
Diffstat (limited to '')
-rw-r--r-- | .travis.yml | 20 |
1 files changed, 20 insertions, 0 deletions
diff --git a/.travis.yml b/.travis.yml new file mode 100644 index 0000000..df324bf --- /dev/null +++ b/.travis.yml @@ -0,0 +1,20 @@ +dist: xenial +addons: + apt: + update: true +language: c +compiler: + - clang + - gcc +install: ./autogen.sh +script: + - ./configure --enable-warn-all + - make dist + - tar zxvf *.tar.gz + - cd tinyframe-[0-9]* + - mkdir build + - cd build + - ../configure --enable-warn-all + - make + - make test + - cat src/test/test*.sh.log |