summaryrefslogtreecommitdiffstats
path: root/src/modules/rlm_example/other.h
diff options
context:
space:
mode:
Diffstat (limited to 'src/modules/rlm_example/other.h')
-rw-r--r--src/modules/rlm_example/other.h12
1 files changed, 12 insertions, 0 deletions
diff --git a/src/modules/rlm_example/other.h b/src/modules/rlm_example/other.h
new file mode 100644
index 0000000..2a02dcb
--- /dev/null
+++ b/src/modules/rlm_example/other.h
@@ -0,0 +1,12 @@
+/* Copyright 2006 The FreeRADIUS server project */
+
+#ifndef _OTHER_H
+#define _OTHER_H
+
+RCSIDH(other_h, "$Id$")
+
+/* define the function */
+
+void other_function(void);
+
+#endif /*_OTHER_H*/