summaryrefslogtreecommitdiffstats
path: root/i915
diff options
context:
space:
mode:
Diffstat (limited to 'i915')
-rw-r--r--i915/adlp_dmc.binbin0 -> 79044 bytes
-rw-r--r--i915/adlp_dmc_ver2_09.binbin0 -> 44636 bytes
-rw-r--r--i915/adlp_dmc_ver2_10.binbin0 -> 44616 bytes
-rw-r--r--i915/adlp_dmc_ver2_12.binbin0 -> 72104 bytes
-rw-r--r--i915/adlp_dmc_ver2_14.binbin0 -> 77300 bytes
-rw-r--r--i915/adlp_dmc_ver2_16.binbin0 -> 77084 bytes
-rw-r--r--i915/adlp_guc_62.0.3.binbin0 -> 336704 bytes
-rw-r--r--i915/adlp_guc_69.0.3.binbin0 -> 356416 bytes
-rw-r--r--i915/adlp_guc_70.1.1.binbin0 -> 289472 bytes
-rw-r--r--i915/adlp_guc_70.binbin0 -> 297984 bytes
-rw-r--r--i915/adls_dmc_ver2_01.binbin0 -> 18704 bytes
-rw-r--r--i915/bxt_dmc_ver1_07.binbin0 -> 8380 bytes
-rw-r--r--i915/bxt_guc_32.0.3.binbin0 -> 176256 bytes
-rw-r--r--i915/bxt_guc_33.0.0.binbin0 -> 181888 bytes
-rw-r--r--i915/bxt_guc_49.0.1.binbin0 -> 196224 bytes
-rw-r--r--i915/bxt_guc_62.0.0.binbin0 -> 199616 bytes
-rw-r--r--i915/bxt_guc_69.0.3.binbin0 -> 216768 bytes
-rw-r--r--i915/bxt_guc_70.1.1.binbin0 -> 206464 bytes
-rw-r--r--i915/bxt_guc_ver8_7.binbin0 -> 140928 bytes
-rw-r--r--i915/bxt_guc_ver9_29.binbin0 -> 146432 bytes
-rw-r--r--i915/bxt_huc_2.0.0.binbin0 -> 149824 bytes
-rw-r--r--i915/bxt_huc_ver01_07_1398.binbin0 -> 154432 bytes
-rw-r--r--i915/bxt_huc_ver01_8_2893.binbin0 -> 146880 bytes
-rw-r--r--i915/cml_guc_33.0.0.binbin0 -> 182912 bytes
-rw-r--r--i915/cml_guc_49.0.1.binbin0 -> 197184 bytes
-rw-r--r--i915/cml_guc_62.0.0.binbin0 -> 200448 bytes
-rw-r--r--i915/cml_guc_69.0.3.binbin0 -> 217664 bytes
-rw-r--r--i915/cml_guc_70.1.1.binbin0 -> 206976 bytes
-rw-r--r--i915/cml_huc_4.0.0.binbin0 -> 226048 bytes
-rw-r--r--i915/cnl_dmc_ver1_07.binbin0 -> 11268 bytes
-rw-r--r--i915/dg1_dmc_ver2_02.binbin0 -> 16624 bytes
-rw-r--r--i915/dg1_guc_49.0.1.binbin0 -> 311872 bytes
-rw-r--r--i915/dg1_guc_62.0.0.binbin0 -> 315648 bytes
-rw-r--r--i915/dg1_guc_69.0.3.binbin0 -> 323968 bytes
-rw-r--r--i915/dg1_guc_70.1.1.binbin0 -> 265152 bytes
-rw-r--r--i915/dg1_guc_70.binbin0 -> 272512 bytes
-rw-r--r--i915/dg1_huc.binbin0 -> 589888 bytes
-rw-r--r--i915/dg1_huc_7.7.1.binbin0 -> 582400 bytes
-rw-r--r--i915/dg1_huc_7.9.3.binbin0 -> 589888 bytes
-rw-r--r--i915/dg2_dmc_ver2_06.binbin0 -> 22416 bytes
-rw-r--r--i915/dg2_dmc_ver2_07.binbin0 -> 22488 bytes
-rw-r--r--i915/dg2_dmc_ver2_08.binbin0 -> 22540 bytes
-rw-r--r--i915/dg2_guc_70.1.2.binbin0 -> 365568 bytes
-rw-r--r--i915/dg2_guc_70.4.1.binbin0 -> 369600 bytes
-rw-r--r--i915/dg2_guc_70.binbin0 -> 369600 bytes
-rwxr-xr-xi915/dg2_huc_gsc.binbin0 -> 622592 bytes
-rw-r--r--i915/ehl_guc_33.0.4.binbin0 -> 396288 bytes
-rw-r--r--i915/ehl_guc_49.0.1.binbin0 -> 324160 bytes
-rw-r--r--i915/ehl_guc_62.0.0.binbin0 -> 327488 bytes
-rw-r--r--i915/ehl_guc_69.0.3.binbin0 -> 343360 bytes
-rw-r--r--i915/ehl_guc_70.1.1.binbin0 -> 274496 bytes
-rw-r--r--i915/ehl_huc_9.0.0.binbin0 -> 498880 bytes
-rw-r--r--i915/glk_dmc_ver1_04.binbin0 -> 8800 bytes
-rw-r--r--i915/glk_guc_32.0.3.binbin0 -> 176640 bytes
-rw-r--r--i915/glk_guc_33.0.0.binbin0 -> 182336 bytes
-rw-r--r--i915/glk_guc_49.0.1.binbin0 -> 196672 bytes
-rw-r--r--i915/glk_guc_62.0.0.binbin0 -> 200000 bytes
-rw-r--r--i915/glk_guc_69.0.3.binbin0 -> 217216 bytes
-rw-r--r--i915/glk_guc_70.1.1.binbin0 -> 206784 bytes
-rw-r--r--i915/glk_huc_4.0.0.binbin0 -> 226048 bytes
-rw-r--r--i915/glk_huc_ver03_01_2893.binbin0 -> 222080 bytes
-rw-r--r--i915/icl_dmc_ver1_07.binbin0 -> 25716 bytes
-rw-r--r--i915/icl_dmc_ver1_09.binbin0 -> 25952 bytes
-rw-r--r--i915/icl_guc_32.0.3.binbin0 -> 380096 bytes
-rw-r--r--i915/icl_guc_33.0.0.binbin0 -> 385280 bytes
-rw-r--r--i915/icl_guc_49.0.1.binbin0 -> 324160 bytes
-rw-r--r--i915/icl_guc_62.0.0.binbin0 -> 327488 bytes
-rw-r--r--i915/icl_guc_69.0.3.binbin0 -> 343360 bytes
-rw-r--r--i915/icl_guc_70.1.1.binbin0 -> 274496 bytes
-rw-r--r--i915/icl_huc_9.0.0.binbin0 -> 498880 bytes
-rw-r--r--i915/icl_huc_ver8_4_3238.binbin0 -> 488960 bytes
-rw-r--r--i915/kbl_dmc_ver1_01.binbin0 -> 8616 bytes
-rw-r--r--i915/kbl_dmc_ver1_04.binbin0 -> 8840 bytes
-rw-r--r--i915/kbl_guc_32.0.3.binbin0 -> 176448 bytes
-rw-r--r--i915/kbl_guc_33.0.0.binbin0 -> 182912 bytes
-rw-r--r--i915/kbl_guc_49.0.1.binbin0 -> 197184 bytes
-rw-r--r--i915/kbl_guc_62.0.0.binbin0 -> 200448 bytes
-rw-r--r--i915/kbl_guc_69.0.3.binbin0 -> 217664 bytes
-rw-r--r--i915/kbl_guc_70.1.1.binbin0 -> 206976 bytes
-rw-r--r--i915/kbl_guc_ver9_14.binbin0 -> 142656 bytes
-rw-r--r--i915/kbl_guc_ver9_39.binbin0 -> 147776 bytes
-rw-r--r--i915/kbl_huc_4.0.0.binbin0 -> 226048 bytes
-rw-r--r--i915/kbl_huc_ver02_00_1810.binbin0 -> 218688 bytes
-rw-r--r--i915/mtl_dmc.binbin0 -> 49104 bytes
-rw-r--r--i915/mtl_dmc_ver2_10.binbin0 -> 48112 bytes
-rw-r--r--i915/mtl_guc_70.binbin0 -> 303936 bytes
-rwxr-xr-xi915/mtl_huc_gsc.binbin0 -> 565248 bytes
-rw-r--r--i915/rkl_dmc_ver2_02.binbin0 -> 18204 bytes
-rw-r--r--i915/rkl_dmc_ver2_03.binbin0 -> 18476 bytes
-rw-r--r--i915/skl_dmc_ver1_23.binbin0 -> 8824 bytes
-rw-r--r--i915/skl_dmc_ver1_26.binbin0 -> 8928 bytes
-rw-r--r--i915/skl_dmc_ver1_27.binbin0 -> 8928 bytes
-rw-r--r--i915/skl_guc_32.0.3.binbin0 -> 175552 bytes
-rw-r--r--i915/skl_guc_33.0.0.binbin0 -> 182080 bytes
-rw-r--r--i915/skl_guc_49.0.1.binbin0 -> 196288 bytes
-rw-r--r--i915/skl_guc_62.0.0.binbin0 -> 199552 bytes
-rw-r--r--i915/skl_guc_69.0.3.binbin0 -> 216704 bytes
-rw-r--r--i915/skl_guc_70.1.1.binbin0 -> 206208 bytes
-rw-r--r--i915/skl_guc_ver1.binbin0 -> 109636 bytes
-rw-r--r--i915/skl_guc_ver4.binbin0 -> 128320 bytes
-rw-r--r--i915/skl_guc_ver6_1.binbin0 -> 129024 bytes
-rw-r--r--i915/skl_guc_ver9_33.binbin0 -> 147520 bytes
-rw-r--r--i915/skl_huc_2.0.0.binbin0 -> 136320 bytes
-rw-r--r--i915/skl_huc_ver01_07_1398.binbin0 -> 140992 bytes
-rw-r--r--i915/tgl_dmc_ver2_04.binbin0 -> 18436 bytes
-rw-r--r--i915/tgl_dmc_ver2_06.binbin0 -> 18660 bytes
-rw-r--r--i915/tgl_dmc_ver2_08.binbin0 -> 18932 bytes
-rw-r--r--i915/tgl_dmc_ver2_12.binbin0 -> 19760 bytes
-rw-r--r--i915/tgl_guc_35.2.0.binbin0 -> 417728 bytes
-rw-r--r--i915/tgl_guc_49.0.1.binbin0 -> 321792 bytes
-rw-r--r--i915/tgl_guc_62.0.0.binbin0 -> 326016 bytes
-rw-r--r--i915/tgl_guc_69.0.3.binbin0 -> 343296 bytes
-rw-r--r--i915/tgl_guc_70.1.1.binbin0 -> 277440 bytes
-rw-r--r--i915/tgl_guc_70.binbin0 -> 285888 bytes
-rw-r--r--i915/tgl_huc.binbin0 -> 589888 bytes
-rw-r--r--i915/tgl_huc_7.0.12.binbin0 -> 530368 bytes
-rw-r--r--i915/tgl_huc_7.0.3.binbin0 -> 521408 bytes
-rw-r--r--i915/tgl_huc_7.5.0.binbin0 -> 580736 bytes
-rw-r--r--i915/tgl_huc_7.9.3.binbin0 -> 589888 bytes
119 files changed, 0 insertions, 0 deletions
diff --git a/i915/adlp_dmc.bin b/i915/adlp_dmc.bin
new file mode 100644
index 0000000..ea6c06a
--- /dev/null
+++ b/i915/adlp_dmc.bin
Binary files differ
diff --git a/i915/adlp_dmc_ver2_09.bin b/i915/adlp_dmc_ver2_09.bin
new file mode 100644
index 0000000..dc78fa0
--- /dev/null
+++ b/i915/adlp_dmc_ver2_09.bin
Binary files differ
diff --git a/i915/adlp_dmc_ver2_10.bin b/i915/adlp_dmc_ver2_10.bin
new file mode 100644
index 0000000..89a33d2
--- /dev/null
+++ b/i915/adlp_dmc_ver2_10.bin
Binary files differ
diff --git a/i915/adlp_dmc_ver2_12.bin b/i915/adlp_dmc_ver2_12.bin
new file mode 100644
index 0000000..94b5380
--- /dev/null
+++ b/i915/adlp_dmc_ver2_12.bin
Binary files differ
diff --git a/i915/adlp_dmc_ver2_14.bin b/i915/adlp_dmc_ver2_14.bin
new file mode 100644
index 0000000..16cec78
--- /dev/null
+++ b/i915/adlp_dmc_ver2_14.bin
Binary files differ
diff --git a/i915/adlp_dmc_ver2_16.bin b/i915/adlp_dmc_ver2_16.bin
new file mode 100644
index 0000000..6f4d918
--- /dev/null
+++ b/i915/adlp_dmc_ver2_16.bin
Binary files differ
diff --git a/i915/adlp_guc_62.0.3.bin b/i915/adlp_guc_62.0.3.bin
new file mode 100644
index 0000000..e5eafaa
--- /dev/null
+++ b/i915/adlp_guc_62.0.3.bin
Binary files differ
diff --git a/i915/adlp_guc_69.0.3.bin b/i915/adlp_guc_69.0.3.bin
new file mode 100644
index 0000000..72924ff
--- /dev/null
+++ b/i915/adlp_guc_69.0.3.bin
Binary files differ
diff --git a/i915/adlp_guc_70.1.1.bin b/i915/adlp_guc_70.1.1.bin
new file mode 100644
index 0000000..279c35f
--- /dev/null
+++ b/i915/adlp_guc_70.1.1.bin
Binary files differ
diff --git a/i915/adlp_guc_70.bin b/i915/adlp_guc_70.bin
new file mode 100644
index 0000000..b96789e
--- /dev/null
+++ b/i915/adlp_guc_70.bin
Binary files differ
diff --git a/i915/adls_dmc_ver2_01.bin b/i915/adls_dmc_ver2_01.bin
new file mode 100644
index 0000000..492d27e
--- /dev/null
+++ b/i915/adls_dmc_ver2_01.bin
Binary files differ
diff --git a/i915/bxt_dmc_ver1_07.bin b/i915/bxt_dmc_ver1_07.bin
new file mode 100644
index 0000000..5d1117b
--- /dev/null
+++ b/i915/bxt_dmc_ver1_07.bin
Binary files differ
diff --git a/i915/bxt_guc_32.0.3.bin b/i915/bxt_guc_32.0.3.bin
new file mode 100644
index 0000000..654d124
--- /dev/null
+++ b/i915/bxt_guc_32.0.3.bin
Binary files differ
diff --git a/i915/bxt_guc_33.0.0.bin b/i915/bxt_guc_33.0.0.bin
new file mode 100644
index 0000000..e42b8c5
--- /dev/null
+++ b/i915/bxt_guc_33.0.0.bin
Binary files differ
diff --git a/i915/bxt_guc_49.0.1.bin b/i915/bxt_guc_49.0.1.bin
new file mode 100644
index 0000000..5ac4890
--- /dev/null
+++ b/i915/bxt_guc_49.0.1.bin
Binary files differ
diff --git a/i915/bxt_guc_62.0.0.bin b/i915/bxt_guc_62.0.0.bin
new file mode 100644
index 0000000..2381605
--- /dev/null
+++ b/i915/bxt_guc_62.0.0.bin
Binary files differ
diff --git a/i915/bxt_guc_69.0.3.bin b/i915/bxt_guc_69.0.3.bin
new file mode 100644
index 0000000..c4476a2
--- /dev/null
+++ b/i915/bxt_guc_69.0.3.bin
Binary files differ
diff --git a/i915/bxt_guc_70.1.1.bin b/i915/bxt_guc_70.1.1.bin
new file mode 100644
index 0000000..b7dcffe
--- /dev/null
+++ b/i915/bxt_guc_70.1.1.bin
Binary files differ
diff --git a/i915/bxt_guc_ver8_7.bin b/i915/bxt_guc_ver8_7.bin
new file mode 100644
index 0000000..ca59c29
--- /dev/null
+++ b/i915/bxt_guc_ver8_7.bin
Binary files differ
diff --git a/i915/bxt_guc_ver9_29.bin b/i915/bxt_guc_ver9_29.bin
new file mode 100644
index 0000000..434d1a2
--- /dev/null
+++ b/i915/bxt_guc_ver9_29.bin
Binary files differ
diff --git a/i915/bxt_huc_2.0.0.bin b/i915/bxt_huc_2.0.0.bin
new file mode 100644
index 0000000..e8942e1
--- /dev/null
+++ b/i915/bxt_huc_2.0.0.bin
Binary files differ
diff --git a/i915/bxt_huc_ver01_07_1398.bin b/i915/bxt_huc_ver01_07_1398.bin
new file mode 100644
index 0000000..ca9cde9
--- /dev/null
+++ b/i915/bxt_huc_ver01_07_1398.bin
Binary files differ
diff --git a/i915/bxt_huc_ver01_8_2893.bin b/i915/bxt_huc_ver01_8_2893.bin
new file mode 100644
index 0000000..0aa5afc
--- /dev/null
+++ b/i915/bxt_huc_ver01_8_2893.bin
Binary files differ
diff --git a/i915/cml_guc_33.0.0.bin b/i915/cml_guc_33.0.0.bin
new file mode 100644
index 0000000..82018b9
--- /dev/null
+++ b/i915/cml_guc_33.0.0.bin
Binary files differ
diff --git a/i915/cml_guc_49.0.1.bin b/i915/cml_guc_49.0.1.bin
new file mode 100644
index 0000000..f232aa8
--- /dev/null
+++ b/i915/cml_guc_49.0.1.bin
Binary files differ
diff --git a/i915/cml_guc_62.0.0.bin b/i915/cml_guc_62.0.0.bin
new file mode 100644
index 0000000..fd8e21b
--- /dev/null
+++ b/i915/cml_guc_62.0.0.bin
Binary files differ
diff --git a/i915/cml_guc_69.0.3.bin b/i915/cml_guc_69.0.3.bin
new file mode 100644
index 0000000..c1fd78b
--- /dev/null
+++ b/i915/cml_guc_69.0.3.bin
Binary files differ
diff --git a/i915/cml_guc_70.1.1.bin b/i915/cml_guc_70.1.1.bin
new file mode 100644
index 0000000..93c8f77
--- /dev/null
+++ b/i915/cml_guc_70.1.1.bin
Binary files differ
diff --git a/i915/cml_huc_4.0.0.bin b/i915/cml_huc_4.0.0.bin
new file mode 100644
index 0000000..94587b0
--- /dev/null
+++ b/i915/cml_huc_4.0.0.bin
Binary files differ
diff --git a/i915/cnl_dmc_ver1_07.bin b/i915/cnl_dmc_ver1_07.bin
new file mode 100644
index 0000000..7a59c0e
--- /dev/null
+++ b/i915/cnl_dmc_ver1_07.bin
Binary files differ
diff --git a/i915/dg1_dmc_ver2_02.bin b/i915/dg1_dmc_ver2_02.bin
new file mode 100644
index 0000000..8f24c92
--- /dev/null
+++ b/i915/dg1_dmc_ver2_02.bin
Binary files differ
diff --git a/i915/dg1_guc_49.0.1.bin b/i915/dg1_guc_49.0.1.bin
new file mode 100644
index 0000000..0083e8c
--- /dev/null
+++ b/i915/dg1_guc_49.0.1.bin
Binary files differ
diff --git a/i915/dg1_guc_62.0.0.bin b/i915/dg1_guc_62.0.0.bin
new file mode 100644
index 0000000..a10d009
--- /dev/null
+++ b/i915/dg1_guc_62.0.0.bin
Binary files differ
diff --git a/i915/dg1_guc_69.0.3.bin b/i915/dg1_guc_69.0.3.bin
new file mode 100644
index 0000000..dbb9428
--- /dev/null
+++ b/i915/dg1_guc_69.0.3.bin
Binary files differ
diff --git a/i915/dg1_guc_70.1.1.bin b/i915/dg1_guc_70.1.1.bin
new file mode 100644
index 0000000..3fa8fd7
--- /dev/null
+++ b/i915/dg1_guc_70.1.1.bin
Binary files differ
diff --git a/i915/dg1_guc_70.bin b/i915/dg1_guc_70.bin
new file mode 100644
index 0000000..6176840
--- /dev/null
+++ b/i915/dg1_guc_70.bin
Binary files differ
diff --git a/i915/dg1_huc.bin b/i915/dg1_huc.bin
new file mode 100644
index 0000000..1066f68
--- /dev/null
+++ b/i915/dg1_huc.bin
Binary files differ
diff --git a/i915/dg1_huc_7.7.1.bin b/i915/dg1_huc_7.7.1.bin
new file mode 100644
index 0000000..79e0dec
--- /dev/null
+++ b/i915/dg1_huc_7.7.1.bin
Binary files differ
diff --git a/i915/dg1_huc_7.9.3.bin b/i915/dg1_huc_7.9.3.bin
new file mode 100644
index 0000000..1066f68
--- /dev/null
+++ b/i915/dg1_huc_7.9.3.bin
Binary files differ
diff --git a/i915/dg2_dmc_ver2_06.bin b/i915/dg2_dmc_ver2_06.bin
new file mode 100644
index 0000000..7eeeab2
--- /dev/null
+++ b/i915/dg2_dmc_ver2_06.bin
Binary files differ
diff --git a/i915/dg2_dmc_ver2_07.bin b/i915/dg2_dmc_ver2_07.bin
new file mode 100644
index 0000000..b355454
--- /dev/null
+++ b/i915/dg2_dmc_ver2_07.bin
Binary files differ
diff --git a/i915/dg2_dmc_ver2_08.bin b/i915/dg2_dmc_ver2_08.bin
new file mode 100644
index 0000000..1ae1909
--- /dev/null
+++ b/i915/dg2_dmc_ver2_08.bin
Binary files differ
diff --git a/i915/dg2_guc_70.1.2.bin b/i915/dg2_guc_70.1.2.bin
new file mode 100644
index 0000000..252cd91
--- /dev/null
+++ b/i915/dg2_guc_70.1.2.bin
Binary files differ
diff --git a/i915/dg2_guc_70.4.1.bin b/i915/dg2_guc_70.4.1.bin
new file mode 100644
index 0000000..d307715
--- /dev/null
+++ b/i915/dg2_guc_70.4.1.bin
Binary files differ
diff --git a/i915/dg2_guc_70.bin b/i915/dg2_guc_70.bin
new file mode 100644
index 0000000..bbda69d
--- /dev/null
+++ b/i915/dg2_guc_70.bin
Binary files differ
diff --git a/i915/dg2_huc_gsc.bin b/i915/dg2_huc_gsc.bin
new file mode 100755
index 0000000..f843808
--- /dev/null
+++ b/i915/dg2_huc_gsc.bin
Binary files differ
diff --git a/i915/ehl_guc_33.0.4.bin b/i915/ehl_guc_33.0.4.bin
new file mode 100644
index 0000000..ff6792d
--- /dev/null
+++ b/i915/ehl_guc_33.0.4.bin
Binary files differ
diff --git a/i915/ehl_guc_49.0.1.bin b/i915/ehl_guc_49.0.1.bin
new file mode 100644
index 0000000..5a298e7
--- /dev/null
+++ b/i915/ehl_guc_49.0.1.bin
Binary files differ
diff --git a/i915/ehl_guc_62.0.0.bin b/i915/ehl_guc_62.0.0.bin
new file mode 100644
index 0000000..b3af3d8
--- /dev/null
+++ b/i915/ehl_guc_62.0.0.bin
Binary files differ
diff --git a/i915/ehl_guc_69.0.3.bin b/i915/ehl_guc_69.0.3.bin
new file mode 100644
index 0000000..d0f5f31
--- /dev/null
+++ b/i915/ehl_guc_69.0.3.bin
Binary files differ
diff --git a/i915/ehl_guc_70.1.1.bin b/i915/ehl_guc_70.1.1.bin
new file mode 100644
index 0000000..da2252a
--- /dev/null
+++ b/i915/ehl_guc_70.1.1.bin
Binary files differ
diff --git a/i915/ehl_huc_9.0.0.bin b/i915/ehl_huc_9.0.0.bin
new file mode 100644
index 0000000..7e799e3
--- /dev/null
+++ b/i915/ehl_huc_9.0.0.bin
Binary files differ
diff --git a/i915/glk_dmc_ver1_04.bin b/i915/glk_dmc_ver1_04.bin
new file mode 100644
index 0000000..4320bf0
--- /dev/null
+++ b/i915/glk_dmc_ver1_04.bin
Binary files differ
diff --git a/i915/glk_guc_32.0.3.bin b/i915/glk_guc_32.0.3.bin
new file mode 100644
index 0000000..cd904a6
--- /dev/null
+++ b/i915/glk_guc_32.0.3.bin
Binary files differ
diff --git a/i915/glk_guc_33.0.0.bin b/i915/glk_guc_33.0.0.bin
new file mode 100644
index 0000000..750242c
--- /dev/null
+++ b/i915/glk_guc_33.0.0.bin
Binary files differ
diff --git a/i915/glk_guc_49.0.1.bin b/i915/glk_guc_49.0.1.bin
new file mode 100644
index 0000000..95e3d50
--- /dev/null
+++ b/i915/glk_guc_49.0.1.bin
Binary files differ
diff --git a/i915/glk_guc_62.0.0.bin b/i915/glk_guc_62.0.0.bin
new file mode 100644
index 0000000..6ac3499
--- /dev/null
+++ b/i915/glk_guc_62.0.0.bin
Binary files differ
diff --git a/i915/glk_guc_69.0.3.bin b/i915/glk_guc_69.0.3.bin
new file mode 100644
index 0000000..94fe8bb
--- /dev/null
+++ b/i915/glk_guc_69.0.3.bin
Binary files differ
diff --git a/i915/glk_guc_70.1.1.bin b/i915/glk_guc_70.1.1.bin
new file mode 100644
index 0000000..f58a558
--- /dev/null
+++ b/i915/glk_guc_70.1.1.bin
Binary files differ
diff --git a/i915/glk_huc_4.0.0.bin b/i915/glk_huc_4.0.0.bin
new file mode 100644
index 0000000..27ca115
--- /dev/null
+++ b/i915/glk_huc_4.0.0.bin
Binary files differ
diff --git a/i915/glk_huc_ver03_01_2893.bin b/i915/glk_huc_ver03_01_2893.bin
new file mode 100644
index 0000000..f9c880e
--- /dev/null
+++ b/i915/glk_huc_ver03_01_2893.bin
Binary files differ
diff --git a/i915/icl_dmc_ver1_07.bin b/i915/icl_dmc_ver1_07.bin
new file mode 100644
index 0000000..eff9d9e
--- /dev/null
+++ b/i915/icl_dmc_ver1_07.bin
Binary files differ
diff --git a/i915/icl_dmc_ver1_09.bin b/i915/icl_dmc_ver1_09.bin
new file mode 100644
index 0000000..06faf41
--- /dev/null
+++ b/i915/icl_dmc_ver1_09.bin
Binary files differ
diff --git a/i915/icl_guc_32.0.3.bin b/i915/icl_guc_32.0.3.bin
new file mode 100644
index 0000000..06ea8ad
--- /dev/null
+++ b/i915/icl_guc_32.0.3.bin
Binary files differ
diff --git a/i915/icl_guc_33.0.0.bin b/i915/icl_guc_33.0.0.bin
new file mode 100644
index 0000000..df06c2e
--- /dev/null
+++ b/i915/icl_guc_33.0.0.bin
Binary files differ
diff --git a/i915/icl_guc_49.0.1.bin b/i915/icl_guc_49.0.1.bin
new file mode 100644
index 0000000..417145e
--- /dev/null
+++ b/i915/icl_guc_49.0.1.bin
Binary files differ
diff --git a/i915/icl_guc_62.0.0.bin b/i915/icl_guc_62.0.0.bin
new file mode 100644
index 0000000..782d323
--- /dev/null
+++ b/i915/icl_guc_62.0.0.bin
Binary files differ
diff --git a/i915/icl_guc_69.0.3.bin b/i915/icl_guc_69.0.3.bin
new file mode 100644
index 0000000..de059b7
--- /dev/null
+++ b/i915/icl_guc_69.0.3.bin
Binary files differ
diff --git a/i915/icl_guc_70.1.1.bin b/i915/icl_guc_70.1.1.bin
new file mode 100644
index 0000000..d1ce428
--- /dev/null
+++ b/i915/icl_guc_70.1.1.bin
Binary files differ
diff --git a/i915/icl_huc_9.0.0.bin b/i915/icl_huc_9.0.0.bin
new file mode 100644
index 0000000..3d9c398
--- /dev/null
+++ b/i915/icl_huc_9.0.0.bin
Binary files differ
diff --git a/i915/icl_huc_ver8_4_3238.bin b/i915/icl_huc_ver8_4_3238.bin
new file mode 100644
index 0000000..a484321
--- /dev/null
+++ b/i915/icl_huc_ver8_4_3238.bin
Binary files differ
diff --git a/i915/kbl_dmc_ver1_01.bin b/i915/kbl_dmc_ver1_01.bin
new file mode 100644
index 0000000..d1bc1c3
--- /dev/null
+++ b/i915/kbl_dmc_ver1_01.bin
Binary files differ
diff --git a/i915/kbl_dmc_ver1_04.bin b/i915/kbl_dmc_ver1_04.bin
new file mode 100644
index 0000000..487b7e5
--- /dev/null
+++ b/i915/kbl_dmc_ver1_04.bin
Binary files differ
diff --git a/i915/kbl_guc_32.0.3.bin b/i915/kbl_guc_32.0.3.bin
new file mode 100644
index 0000000..d3b8a44
--- /dev/null
+++ b/i915/kbl_guc_32.0.3.bin
Binary files differ
diff --git a/i915/kbl_guc_33.0.0.bin b/i915/kbl_guc_33.0.0.bin
new file mode 100644
index 0000000..e1b9377
--- /dev/null
+++ b/i915/kbl_guc_33.0.0.bin
Binary files differ
diff --git a/i915/kbl_guc_49.0.1.bin b/i915/kbl_guc_49.0.1.bin
new file mode 100644
index 0000000..942b034
--- /dev/null
+++ b/i915/kbl_guc_49.0.1.bin
Binary files differ
diff --git a/i915/kbl_guc_62.0.0.bin b/i915/kbl_guc_62.0.0.bin
new file mode 100644
index 0000000..18896bc
--- /dev/null
+++ b/i915/kbl_guc_62.0.0.bin
Binary files differ
diff --git a/i915/kbl_guc_69.0.3.bin b/i915/kbl_guc_69.0.3.bin
new file mode 100644
index 0000000..bc6e47e
--- /dev/null
+++ b/i915/kbl_guc_69.0.3.bin
Binary files differ
diff --git a/i915/kbl_guc_70.1.1.bin b/i915/kbl_guc_70.1.1.bin
new file mode 100644
index 0000000..7633552
--- /dev/null
+++ b/i915/kbl_guc_70.1.1.bin
Binary files differ
diff --git a/i915/kbl_guc_ver9_14.bin b/i915/kbl_guc_ver9_14.bin
new file mode 100644
index 0000000..e5b979e
--- /dev/null
+++ b/i915/kbl_guc_ver9_14.bin
Binary files differ
diff --git a/i915/kbl_guc_ver9_39.bin b/i915/kbl_guc_ver9_39.bin
new file mode 100644
index 0000000..5a8b5b5
--- /dev/null
+++ b/i915/kbl_guc_ver9_39.bin
Binary files differ
diff --git a/i915/kbl_huc_4.0.0.bin b/i915/kbl_huc_4.0.0.bin
new file mode 100644
index 0000000..da17bb7
--- /dev/null
+++ b/i915/kbl_huc_4.0.0.bin
Binary files differ
diff --git a/i915/kbl_huc_ver02_00_1810.bin b/i915/kbl_huc_ver02_00_1810.bin
new file mode 100644
index 0000000..4a210b4
--- /dev/null
+++ b/i915/kbl_huc_ver02_00_1810.bin
Binary files differ
diff --git a/i915/mtl_dmc.bin b/i915/mtl_dmc.bin
new file mode 100644
index 0000000..7747149
--- /dev/null
+++ b/i915/mtl_dmc.bin
Binary files differ
diff --git a/i915/mtl_dmc_ver2_10.bin b/i915/mtl_dmc_ver2_10.bin
new file mode 100644
index 0000000..d47511c
--- /dev/null
+++ b/i915/mtl_dmc_ver2_10.bin
Binary files differ
diff --git a/i915/mtl_guc_70.bin b/i915/mtl_guc_70.bin
new file mode 100644
index 0000000..618b1fa
--- /dev/null
+++ b/i915/mtl_guc_70.bin
Binary files differ
diff --git a/i915/mtl_huc_gsc.bin b/i915/mtl_huc_gsc.bin
new file mode 100755
index 0000000..9b9a67b
--- /dev/null
+++ b/i915/mtl_huc_gsc.bin
Binary files differ
diff --git a/i915/rkl_dmc_ver2_02.bin b/i915/rkl_dmc_ver2_02.bin
new file mode 100644
index 0000000..e553fbc
--- /dev/null
+++ b/i915/rkl_dmc_ver2_02.bin
Binary files differ
diff --git a/i915/rkl_dmc_ver2_03.bin b/i915/rkl_dmc_ver2_03.bin
new file mode 100644
index 0000000..2c70aba
--- /dev/null
+++ b/i915/rkl_dmc_ver2_03.bin
Binary files differ
diff --git a/i915/skl_dmc_ver1_23.bin b/i915/skl_dmc_ver1_23.bin
new file mode 100644
index 0000000..72c32cd
--- /dev/null
+++ b/i915/skl_dmc_ver1_23.bin
Binary files differ
diff --git a/i915/skl_dmc_ver1_26.bin b/i915/skl_dmc_ver1_26.bin
new file mode 100644
index 0000000..3dd8a7d
--- /dev/null
+++ b/i915/skl_dmc_ver1_26.bin
Binary files differ
diff --git a/i915/skl_dmc_ver1_27.bin b/i915/skl_dmc_ver1_27.bin
new file mode 100644
index 0000000..b41d062
--- /dev/null
+++ b/i915/skl_dmc_ver1_27.bin
Binary files differ
diff --git a/i915/skl_guc_32.0.3.bin b/i915/skl_guc_32.0.3.bin
new file mode 100644
index 0000000..6c653ef
--- /dev/null
+++ b/i915/skl_guc_32.0.3.bin
Binary files differ
diff --git a/i915/skl_guc_33.0.0.bin b/i915/skl_guc_33.0.0.bin
new file mode 100644
index 0000000..3cf084c
--- /dev/null
+++ b/i915/skl_guc_33.0.0.bin
Binary files differ
diff --git a/i915/skl_guc_49.0.1.bin b/i915/skl_guc_49.0.1.bin
new file mode 100644
index 0000000..1a4aa25
--- /dev/null
+++ b/i915/skl_guc_49.0.1.bin
Binary files differ
diff --git a/i915/skl_guc_62.0.0.bin b/i915/skl_guc_62.0.0.bin
new file mode 100644
index 0000000..ea12f5d
--- /dev/null
+++ b/i915/skl_guc_62.0.0.bin
Binary files differ
diff --git a/i915/skl_guc_69.0.3.bin b/i915/skl_guc_69.0.3.bin
new file mode 100644
index 0000000..d078038
--- /dev/null
+++ b/i915/skl_guc_69.0.3.bin
Binary files differ
diff --git a/i915/skl_guc_70.1.1.bin b/i915/skl_guc_70.1.1.bin
new file mode 100644
index 0000000..d18d9f1
--- /dev/null
+++ b/i915/skl_guc_70.1.1.bin
Binary files differ
diff --git a/i915/skl_guc_ver1.bin b/i915/skl_guc_ver1.bin
new file mode 100644
index 0000000..1b1ab15
--- /dev/null
+++ b/i915/skl_guc_ver1.bin
Binary files differ
diff --git a/i915/skl_guc_ver4.bin b/i915/skl_guc_ver4.bin
new file mode 100644
index 0000000..8571de2
--- /dev/null
+++ b/i915/skl_guc_ver4.bin
Binary files differ
diff --git a/i915/skl_guc_ver6_1.bin b/i915/skl_guc_ver6_1.bin
new file mode 100644
index 0000000..5c626ea
--- /dev/null
+++ b/i915/skl_guc_ver6_1.bin
Binary files differ
diff --git a/i915/skl_guc_ver9_33.bin b/i915/skl_guc_ver9_33.bin
new file mode 100644
index 0000000..f47b7bf
--- /dev/null
+++ b/i915/skl_guc_ver9_33.bin
Binary files differ
diff --git a/i915/skl_huc_2.0.0.bin b/i915/skl_huc_2.0.0.bin
new file mode 100644
index 0000000..220f67f
--- /dev/null
+++ b/i915/skl_huc_2.0.0.bin
Binary files differ
diff --git a/i915/skl_huc_ver01_07_1398.bin b/i915/skl_huc_ver01_07_1398.bin
new file mode 100644
index 0000000..9ad48ef
--- /dev/null
+++ b/i915/skl_huc_ver01_07_1398.bin
Binary files differ
diff --git a/i915/tgl_dmc_ver2_04.bin b/i915/tgl_dmc_ver2_04.bin
new file mode 100644
index 0000000..9129686
--- /dev/null
+++ b/i915/tgl_dmc_ver2_04.bin
Binary files differ
diff --git a/i915/tgl_dmc_ver2_06.bin b/i915/tgl_dmc_ver2_06.bin
new file mode 100644
index 0000000..ea0fe9a
--- /dev/null
+++ b/i915/tgl_dmc_ver2_06.bin
Binary files differ
diff --git a/i915/tgl_dmc_ver2_08.bin b/i915/tgl_dmc_ver2_08.bin
new file mode 100644
index 0000000..9db379c
--- /dev/null
+++ b/i915/tgl_dmc_ver2_08.bin
Binary files differ
diff --git a/i915/tgl_dmc_ver2_12.bin b/i915/tgl_dmc_ver2_12.bin
new file mode 100644
index 0000000..2995a5a
--- /dev/null
+++ b/i915/tgl_dmc_ver2_12.bin
Binary files differ
diff --git a/i915/tgl_guc_35.2.0.bin b/i915/tgl_guc_35.2.0.bin
new file mode 100644
index 0000000..578d020
--- /dev/null
+++ b/i915/tgl_guc_35.2.0.bin
Binary files differ
diff --git a/i915/tgl_guc_49.0.1.bin b/i915/tgl_guc_49.0.1.bin
new file mode 100644
index 0000000..7135c84
--- /dev/null
+++ b/i915/tgl_guc_49.0.1.bin
Binary files differ
diff --git a/i915/tgl_guc_62.0.0.bin b/i915/tgl_guc_62.0.0.bin
new file mode 100644
index 0000000..ce6837e
--- /dev/null
+++ b/i915/tgl_guc_62.0.0.bin
Binary files differ
diff --git a/i915/tgl_guc_69.0.3.bin b/i915/tgl_guc_69.0.3.bin
new file mode 100644
index 0000000..4c78333
--- /dev/null
+++ b/i915/tgl_guc_69.0.3.bin
Binary files differ
diff --git a/i915/tgl_guc_70.1.1.bin b/i915/tgl_guc_70.1.1.bin
new file mode 100644
index 0000000..165e0e0
--- /dev/null
+++ b/i915/tgl_guc_70.1.1.bin
Binary files differ
diff --git a/i915/tgl_guc_70.bin b/i915/tgl_guc_70.bin
new file mode 100644
index 0000000..50268bd
--- /dev/null
+++ b/i915/tgl_guc_70.bin
Binary files differ
diff --git a/i915/tgl_huc.bin b/i915/tgl_huc.bin
new file mode 100644
index 0000000..abe9f1d
--- /dev/null
+++ b/i915/tgl_huc.bin
Binary files differ
diff --git a/i915/tgl_huc_7.0.12.bin b/i915/tgl_huc_7.0.12.bin
new file mode 100644
index 0000000..a7b93be
--- /dev/null
+++ b/i915/tgl_huc_7.0.12.bin
Binary files differ
diff --git a/i915/tgl_huc_7.0.3.bin b/i915/tgl_huc_7.0.3.bin
new file mode 100644
index 0000000..d85b4be
--- /dev/null
+++ b/i915/tgl_huc_7.0.3.bin
Binary files differ
diff --git a/i915/tgl_huc_7.5.0.bin b/i915/tgl_huc_7.5.0.bin
new file mode 100644
index 0000000..bed10f3
--- /dev/null
+++ b/i915/tgl_huc_7.5.0.bin
Binary files differ
diff --git a/i915/tgl_huc_7.9.3.bin b/i915/tgl_huc_7.9.3.bin
new file mode 100644
index 0000000..abe9f1d
--- /dev/null
+++ b/i915/tgl_huc_7.9.3.bin
Binary files differ