summaryrefslogtreecommitdiffstats
path: root/tests/ui/while/while-else-err.stderr
blob: 88f715d56660918ee8600f80effbae0d2b81d046 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
error: `while...else` loops are not supported
  --> $DIR/while-else-err.rs:4:7
   |
LL |       while false {
   |       ----- `else` is attached to this loop
LL |
LL |       } else {
   |  _______^
LL | |
LL | |
LL | |     };
   | |_____^
   |
   = note: consider moving this `else` clause to a separate `if` statement and use a `bool` variable to control if it should run

error: aborting due to previous error